sbuild (Debian sbuild) 0.86.3~bpo12+1 (03 November 2024) on debusine-worker-arm64-demeter-06.freexian.com
+==============================================================================+
| haskell-clash-prelude 1.8.1-2 (arm64) Sat, 16 Nov 2024 13:10:02 +0000 |
+==============================================================================+
Package: haskell-clash-prelude
Version: 1.8.1-2
Source Version: 1.8.1-2
Distribution: sid
Machine Architecture: arm64
Host Architecture: arm64
Build Architecture: arm64
Build Type: binary
I: No tarballs found in /var/lib/debusine/worker/.cache/sbuild
Unpacking /var/lib/debusine/worker/system-images/957781/system.tar.xz to /tmp/tmp.sbuild.j4dxs8CTsO...
I: NOTICE: Log filtering will replace 'sbuild-unshare-dummy-location' with '<<CHROOT>>'
+------------------------------------------------------------------------------+
| Chroot Setup Commands |
+------------------------------------------------------------------------------+
rm -f /etc/resolv.conf
----------------------
I: Finished running 'rm -f /etc/resolv.conf'.
Finished processing commands.
--------------------------------------------------------------------------------
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/dpkg-dbgsym_1.22.12~1.gbp82cafd_arm64.deb to /<<CHROOT>>...
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/dpkg_1.22.12~1.gbp82cafd_arm64.deb to /<<CHROOT>>...
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/dselect-dbgsym_1.22.12~1.gbp82cafd_arm64.deb to /<<CHROOT>>...
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/dselect_1.22.12~1.gbp82cafd_arm64.deb to /<<CHROOT>>...
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/libdpkg-dev_1.22.12~1.gbp82cafd_arm64.deb to /<<CHROOT>>...
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/dpkg-dev_1.22.12~1.gbp82cafd_all.deb to /<<CHROOT>>...
Copying /tmp/debusine-fetch-exec-upload-1yn5cb7w/libdpkg-perl_1.22.12~1.gbp82cafd_all.deb to /<<CHROOT>>...
I: NOTICE: Log filtering will replace 'build/haskell-clash-prelude-G8XffC/resolver-3CxOiy' with '<<RESOLVERDIR>>'
+------------------------------------------------------------------------------+
| Update chroot |
+------------------------------------------------------------------------------+
Get:1 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ InRelease
Ign:1 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ InRelease
Get:2 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ Release [606 B]
Get:2 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ Release [606 B]
Get:3 http://deb.debian.org/debian sid InRelease [202 kB]
Get:4 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ Release.gpg
Ign:4 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ Release.gpg
Get:5 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ Packages [9246 B]
Get:6 http://deb.debian.org/debian sid/main arm64 Packages [9950 kB]
Get:7 http://deb.debian.org/debian sid/main arm64 Components [4908 kB]
Fetched 15.1 MB in 2s (6260 kB/s)
Reading package lists...
Reading package lists...
Building dependency tree...
Reading state information...
Calculating upgrade...
The following packages will be upgraded:
apt bsdextrautils bsdutils debianutils dpkg dpkg-dev libapt-pkg6.0t64
libaudit-common libaudit1 libblkid1 libbrotli1 libcap-ng0 libdpkg-perl
libglib2.0-0t64 libmarkdown2 libmount1 libpcre2-8-0 libseccomp2 libselinux1
libsemanage2 libsmartcols1 libuuid1 libxml2 login login.defs mount passwd
util-linux
28 upgraded, 0 newly installed, 0 to remove and 0 not upgraded.
Need to get 8719 kB/12.2 MB of archives.
After this operation, 603 kB disk space will be freed.
Get:1 http://deb.debian.org/debian sid/main arm64 bsdutils arm64 1:2.40.2-11 [104 kB]
Get:2 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ dpkg 1.22.12~1.gbp82cafd [1513 kB]
Get:3 http://deb.debian.org/debian sid/main arm64 debianutils arm64 5.21 [92.1 kB]
Get:4 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ dpkg-dev 1.22.12~1.gbp82cafd [1337 kB]
Get:5 http://deb.debian.org/debian sid/main arm64 libapt-pkg6.0t64 arm64 2.9.11 [921 kB]
Get:6 file:/build/haskell-clash-prelude-G8XffC/resolver-XoYPKR/apt_archive ./ libdpkg-perl 1.22.12~1.gbp82cafd [647 kB]
Get:7 http://deb.debian.org/debian sid/main arm64 bsdextrautils arm64 2.40.2-11 [91.2 kB]
Get:8 http://deb.debian.org/debian sid/main arm64 libblkid1 arm64 2.40.2-11 [162 kB]
Get:9 http://deb.debian.org/debian sid/main arm64 libmount1 arm64 2.40.2-11 [190 kB]
Get:10 http://deb.debian.org/debian sid/main arm64 libsmartcols1 arm64 2.40.2-11 [135 kB]
Get:11 http://deb.debian.org/debian sid/main arm64 mount arm64 2.40.2-11 [153 kB]
Get:12 http://deb.debian.org/debian sid/main arm64 libuuid1 arm64 2.40.2-11 [35.7 kB]
Get:13 http://deb.debian.org/debian sid/main arm64 util-linux arm64 2.40.2-11 [1170 kB]
Get:14 http://deb.debian.org/debian sid/main arm64 libpcre2-8-0 arm64 10.44-4 [243 kB]
Get:15 http://deb.debian.org/debian sid/main arm64 libselinux1 arm64 3.7-3+b1 [72.1 kB]
Get:16 http://deb.debian.org/debian sid/main arm64 libseccomp2 arm64 2.5.5-1+b3 [46.8 kB]
Get:17 http://deb.debian.org/debian sid/main arm64 apt arm64 2.9.11 [1287 kB]
Get:18 http://deb.debian.org/debian sid/main arm64 libaudit-common all 1:4.0.2-2 [12.7 kB]
Get:19 http://deb.debian.org/debian sid/main arm64 libcap-ng0 arm64 0.8.5-3+b1 [17.0 kB]
Get:20 http://deb.debian.org/debian sid/main arm64 libaudit1 arm64 1:4.0.2-2 [54.2 kB]
Get:21 http://deb.debian.org/debian sid/main arm64 login arm64 1:4.16.0-2+really2.40.2-11 [80.0 kB]
Get:22 http://deb.debian.org/debian sid/main arm64 login.defs all 1:4.16.0-5 [185 kB]
Get:23 http://deb.debian.org/debian sid/main arm64 libsemanage2 arm64 3.7-2+b1 [84.5 kB]
Get:24 http://deb.debian.org/debian sid/main arm64 passwd arm64 1:4.16.0-5 [1210 kB]
Get:25 http://deb.debian.org/debian sid/main arm64 libbrotli1 arm64 1.1.0-2+b6 [297 kB]
Get:26 http://deb.debian.org/debian sid/main arm64 libglib2.0-0t64 arm64 2.82.2-3 [1411 kB]
Get:27 http://deb.debian.org/debian sid/main arm64 libmarkdown2 arm64 2.2.7-2.1 [33.1 kB]
Get:28 http://deb.debian.org/debian sid/main arm64 libxml2 arm64 2.12.7+dfsg+really2.9.14-0.2+b1 [630 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 8719 kB in 0s (52.0 MB/s)
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17129 files and directories currently installed.)
Preparing to unpack .../bsdutils_1%3a2.40.2-11_arm64.deb ...
Unpacking bsdutils (1:2.40.2-11) over (1:2.40.2-10) ...
Setting up bsdutils (1:2.40.2-11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17129 files and directories currently installed.)
Preparing to unpack .../debianutils_5.21_arm64.deb ...
Unpacking debianutils (5.21) over (5.20+b1) ...
Setting up debianutils (5.21) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17128 files and directories currently installed.)
Preparing to unpack .../libapt-pkg6.0t64_2.9.11_arm64.deb ...
Unpacking libapt-pkg6.0t64:arm64 (2.9.11) over (2.9.10) ...
Setting up libapt-pkg6.0t64:arm64 (2.9.11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17128 files and directories currently installed.)
Preparing to unpack .../dpkg_1.22.12~1.gbp82cafd_arm64.deb ...
Unpacking dpkg (1.22.12~1.gbp82cafd) over (1.22.11) ...
Setting up dpkg (1.22.12~1.gbp82cafd) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17127 files and directories currently installed.)
Preparing to unpack .../bsdextrautils_2.40.2-11_arm64.deb ...
Unpacking bsdextrautils (2.40.2-11) over (2.40.2-10) ...
Preparing to unpack .../libblkid1_2.40.2-11_arm64.deb ...
Unpacking libblkid1:arm64 (2.40.2-11) over (2.40.2-10) ...
Setting up libblkid1:arm64 (2.40.2-11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17123 files and directories currently installed.)
Preparing to unpack .../libmount1_2.40.2-11_arm64.deb ...
Unpacking libmount1:arm64 (2.40.2-11) over (2.40.2-10) ...
Setting up libmount1:arm64 (2.40.2-11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17123 files and directories currently installed.)
Preparing to unpack .../libsmartcols1_2.40.2-11_arm64.deb ...
Unpacking libsmartcols1:arm64 (2.40.2-11) over (2.40.2-10) ...
Setting up libsmartcols1:arm64 (2.40.2-11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17123 files and directories currently installed.)
Preparing to unpack .../mount_2.40.2-11_arm64.deb ...
Unpacking mount (2.40.2-11) over (2.40.2-10) ...
Preparing to unpack .../libuuid1_2.40.2-11_arm64.deb ...
Unpacking libuuid1:arm64 (2.40.2-11) over (2.40.2-10) ...
Setting up libuuid1:arm64 (2.40.2-11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17123 files and directories currently installed.)
Preparing to unpack .../util-linux_2.40.2-11_arm64.deb ...
Unpacking util-linux (2.40.2-11) over (2.40.2-10) ...
Setting up util-linux (2.40.2-11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17120 files and directories currently installed.)
Preparing to unpack .../libpcre2-8-0_10.44-4_arm64.deb ...
Unpacking libpcre2-8-0:arm64 (10.44-4) over (10.42-4+b2) ...
Setting up libpcre2-8-0:arm64 (10.44-4) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17119 files and directories currently installed.)
Preparing to unpack .../libselinux1_3.7-3+b1_arm64.deb ...
Unpacking libselinux1:arm64 (3.7-3+b1) over (3.7-3) ...
Setting up libselinux1:arm64 (3.7-3+b1) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17120 files and directories currently installed.)
Preparing to unpack .../libseccomp2_2.5.5-1+b3_arm64.deb ...
Unpacking libseccomp2:arm64 (2.5.5-1+b3) over (2.5.5-1+b2) ...
Setting up libseccomp2:arm64 (2.5.5-1+b3) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17120 files and directories currently installed.)
Preparing to unpack .../archives/apt_2.9.11_arm64.deb ...
Unpacking apt (2.9.11) over (2.9.10) ...
Setting up apt (2.9.11) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17117 files and directories currently installed.)
Preparing to unpack .../libaudit-common_1%3a4.0.2-2_all.deb ...
Unpacking libaudit-common (1:4.0.2-2) over (1:4.0.1-3) ...
Setting up libaudit-common (1:4.0.2-2) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17117 files and directories currently installed.)
Preparing to unpack .../libcap-ng0_0.8.5-3+b1_arm64.deb ...
Unpacking libcap-ng0:arm64 (0.8.5-3+b1) over (0.8.5-3) ...
Setting up libcap-ng0:arm64 (0.8.5-3+b1) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17118 files and directories currently installed.)
Preparing to unpack .../libaudit1_1%3a4.0.2-2_arm64.deb ...
Unpacking libaudit1:arm64 (1:4.0.2-2) over (1:4.0.1-3) ...
Setting up libaudit1:arm64 (1:4.0.2-2) ...
(Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 17118 files and directories currently installed.)
Preparing to unpack .../0-login_1%3a4.16.0-2+really2.40.2-11_arm64.deb ...
Unpacking login (1:4.16.0-2+really2.40.2-11) over (1:4.16.0-2+really2.40.2-10) ...
Preparing to unpack .../1-login.defs_1%3a4.16.0-5_all.deb ...
Unpacking login.defs (1:4.16.0-5) over (1:4.16.0-4) ...
Preparing to unpack .../2-libsemanage2_3.7-2+b1_arm64.deb ...
Unpacking libsemanage2:arm64 (3.7-2+b1) over (3.7-2) ...
Preparing to unpack .../3-passwd_1%3a4.16.0-5_arm64.deb ...
Unpacking passwd (1:4.16.0-5) over (1:4.16.0-4) ...
Preparing to unpack .../4-dpkg-dev_1.22.12~1.gbp82cafd_all.deb ...
Unpacking dpkg-dev (1.22.12~1.gbp82cafd) over (1.22.11) ...
Preparing to unpack .../5-libdpkg-perl_1.22.12~1.gbp82cafd_all.deb ...
Unpacking libdpkg-perl (1.22.12~1.gbp82cafd) over (1.22.11) ...
Preparing to unpack .../6-libbrotli1_1.1.0-2+b6_arm64.deb ...
Unpacking libbrotli1:arm64 (1.1.0-2+b6) over (1.1.0-2+b5) ...
Preparing to unpack .../7-libglib2.0-0t64_2.82.2-3_arm64.deb ...
Unpacking libglib2.0-0t64:arm64 (2.82.2-3) over (2.82.2-2) ...
Preparing to unpack .../8-libmarkdown2_2.2.7-2.1_arm64.deb ...
Unpacking libmarkdown2:arm64 (2.2.7-2.1) over (2.2.7-2+b1) ...
Preparing to unpack .../9-libxml2_2.12.7+dfsg+really2.9.14-0.2+b1_arm64.deb ...
Unpacking libxml2:arm64 (2.12.7+dfsg+really2.9.14-0.2+b1) over (2.12.7+dfsg+really2.9.14-0.1) ...
Setting up bsdextrautils (2.40.2-11) ...
Setting up login.defs (1:4.16.0-5) ...
Installing new version of config file /etc/login.defs ...
Setting up libbrotli1:arm64 (1.1.0-2+b6) ...
Setting up libglib2.0-0t64:arm64 (2.82.2-3) ...
No schema files found: doing nothing.
Setting up libdpkg-perl (1.22.12~1.gbp82cafd) ...
Setting up mount (2.40.2-11) ...
Setting up libsemanage2:arm64 (3.7-2+b1) ...
Setting up libxml2:arm64 (2.12.7+dfsg+really2.9.14-0.2+b1) ...
Setting up libmarkdown2:arm64 (2.2.7-2.1) ...
Setting up login (1:4.16.0-2+really2.40.2-11) ...
Setting up dpkg-dev (1.22.12~1.gbp82cafd) ...
Setting up passwd (1:4.16.0-5) ...
Processing triggers for man-db (2.13.0-1) ...
Processing triggers for libc-bin (2.40-3) ...
+------------------------------------------------------------------------------+
| Fetch source files |
+------------------------------------------------------------------------------+
Local sources
-------------
/tmp/debusine-fetch-exec-upload-1yn5cb7w/haskell-clash-prelude_1.8.1-2.dsc exists in /tmp/debusine-fetch-exec-upload-1yn5cb7w; copying to chroot
I: NOTICE: Log filtering will replace 'build/haskell-clash-prelude-G8XffC/haskell-clash-prelude-1.8.1' with '<<PKGBUILDDIR>>'
I: NOTICE: Log filtering will replace 'build/haskell-clash-prelude-G8XffC' with '<<BUILDDIR>>'
+------------------------------------------------------------------------------+
| Install package build dependencies |
+------------------------------------------------------------------------------+
Setup apt archive
-----------------
Merged Build-Depends: debhelper (>= 10), haskell-devscripts-minimal | haskell-devscripts (>= 0.13), cdbs, ghc (>= 9.6), ghc-prof, libghc-quickcheck2-dev (>= 2.7), libghc-quickcheck2-dev (<< 2.15), libghc-quickcheck2-prof, libghc-arrows-dev (>= 0.4), libghc-arrows-dev (<< 0.5), libghc-arrows-prof, libghc-constraints-dev (>= 0.9), libghc-constraints-dev (<< 1.0), libghc-constraints-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-class-dev (>= 0.1.2), libghc-data-default-class-dev (<< 0.2), libghc-data-default-class-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-ghc-typelits-extra-dev (>= 0.4), libghc-ghc-typelits-extra-dev (<< 0.5), libghc-ghc-typelits-extra-prof, libghc-ghc-typelits-knownnat-dev (>= 0.7.2), libghc-ghc-typelits-knownnat-dev (<< 0.8), libghc-ghc-typelits-knownnat-prof, libghc-ghc-typelits-natnormalise-dev (>= 0.7.2), libghc-ghc-typelits-natnormalise-dev (<< 0.8), libghc-ghc-typelits-natnormalise-prof, libghc-half-dev (>= 0.2.2.3), libghc-half-dev (<< 1.0), libghc-half-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-infinite-list-dev (>= 0.1), libghc-infinite-list-dev (<< 0.2), libghc-infinite-list-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.3), libghc-lens-prof, libghc-recursion-schemes-dev (>= 5.1), libghc-recursion-schemes-dev (<< 5.3), libghc-recursion-schemes-prof, libghc-reflection-dev (>= 2), libghc-reflection-dev (<< 2.2), libghc-reflection-prof, libghc-singletons-dev (>= 2.0), libghc-singletons-dev (<< 3.1), libghc-singletons-prof, libghc-string-interpolate-dev (>= 0.3), libghc-string-interpolate-dev (<< 0.4), libghc-string-interpolate-prof, libghc-th-abstraction-dev (>= 0.2.10), libghc-th-abstraction-dev (<< 0.7.0), libghc-th-abstraction-prof, libghc-th-lift-dev (>= 0.7.0), libghc-th-lift-dev (<< 0.9), libghc-th-lift-prof, libghc-th-orphans-dev (>= 0.13.1), libghc-th-orphans-dev (<< 1.0), libghc-th-orphans-prof, libghc-type-errors-dev (>= 0.2.0.0), libghc-type-errors-dev (<< 0.3), libghc-type-errors-prof, libghc-uniplate-dev (>= 1.6.12), libghc-uniplate-dev (<< 1.7), libghc-uniplate-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-doctest-parallel-dev (>= 0.2), libghc-doctest-parallel-dev (<< 0.4), libghc-doctest-parallel-prof, libghc-hedgehog-dev (>= 1.0.3), libghc-hedgehog-dev (<< 1.5), libghc-hedgehog-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-quickcheck-classes-base-dev (>= 0.6), libghc-quickcheck-classes-base-dev (<< 1.0), libghc-quickcheck-classes-base-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.6), libghc-tasty-prof, libghc-tasty-hedgehog-dev (>= 1.2.0), libghc-tasty-hedgehog-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-tasty-th-dev, libghc-tasty-th-prof, build-essential, fakeroot, ghc-doc, libghc-quickcheck2-doc, libghc-arrows-doc, libghc-constraints-doc, libghc-data-binary-ieee754-doc, libghc-data-default-class-doc, libghc-extra-doc, libghc-ghc-typelits-extra-doc, libghc-ghc-typelits-knownnat-doc, libghc-ghc-typelits-natnormalise-doc, libghc-half-doc, libghc-hashable-doc, libghc-infinite-list-doc, libghc-lens-doc, libghc-recursion-schemes-doc, libghc-reflection-doc, libghc-singletons-doc, libghc-string-interpolate-doc, libghc-th-abstraction-doc, libghc-th-lift-doc, libghc-th-orphans-doc, libghc-type-errors-doc, libghc-uniplate-doc, libghc-vector-doc
Filtered Build-Depends: debhelper (>= 10), haskell-devscripts-minimal, cdbs, ghc (>= 9.6), ghc-prof, libghc-quickcheck2-dev (>= 2.7), libghc-quickcheck2-dev (<< 2.15), libghc-quickcheck2-prof, libghc-arrows-dev (>= 0.4), libghc-arrows-dev (<< 0.5), libghc-arrows-prof, libghc-constraints-dev (>= 0.9), libghc-constraints-dev (<< 1.0), libghc-constraints-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-class-dev (>= 0.1.2), libghc-data-default-class-dev (<< 0.2), libghc-data-default-class-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-ghc-typelits-extra-dev (>= 0.4), libghc-ghc-typelits-extra-dev (<< 0.5), libghc-ghc-typelits-extra-prof, libghc-ghc-typelits-knownnat-dev (>= 0.7.2), libghc-ghc-typelits-knownnat-dev (<< 0.8), libghc-ghc-typelits-knownnat-prof, libghc-ghc-typelits-natnormalise-dev (>= 0.7.2), libghc-ghc-typelits-natnormalise-dev (<< 0.8), libghc-ghc-typelits-natnormalise-prof, libghc-half-dev (>= 0.2.2.3), libghc-half-dev (<< 1.0), libghc-half-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-infinite-list-dev (>= 0.1), libghc-infinite-list-dev (<< 0.2), libghc-infinite-list-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.3), libghc-lens-prof, libghc-recursion-schemes-dev (>= 5.1), libghc-recursion-schemes-dev (<< 5.3), libghc-recursion-schemes-prof, libghc-reflection-dev (>= 2), libghc-reflection-dev (<< 2.2), libghc-reflection-prof, libghc-singletons-dev (>= 2.0), libghc-singletons-dev (<< 3.1), libghc-singletons-prof, libghc-string-interpolate-dev (>= 0.3), libghc-string-interpolate-dev (<< 0.4), libghc-string-interpolate-prof, libghc-th-abstraction-dev (>= 0.2.10), libghc-th-abstraction-dev (<< 0.7.0), libghc-th-abstraction-prof, libghc-th-lift-dev (>= 0.7.0), libghc-th-lift-dev (<< 0.9), libghc-th-lift-prof, libghc-th-orphans-dev (>= 0.13.1), libghc-th-orphans-dev (<< 1.0), libghc-th-orphans-prof, libghc-type-errors-dev (>= 0.2.0.0), libghc-type-errors-dev (<< 0.3), libghc-type-errors-prof, libghc-uniplate-dev (>= 1.6.12), libghc-uniplate-dev (<< 1.7), libghc-uniplate-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-doctest-parallel-dev (>= 0.2), libghc-doctest-parallel-dev (<< 0.4), libghc-doctest-parallel-prof, libghc-hedgehog-dev (>= 1.0.3), libghc-hedgehog-dev (<< 1.5), libghc-hedgehog-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-quickcheck-classes-base-dev (>= 0.6), libghc-quickcheck-classes-base-dev (<< 1.0), libghc-quickcheck-classes-base-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.6), libghc-tasty-prof, libghc-tasty-hedgehog-dev (>= 1.2.0), libghc-tasty-hedgehog-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-tasty-th-dev, libghc-tasty-th-prof, build-essential, fakeroot, ghc-doc, libghc-quickcheck2-doc, libghc-arrows-doc, libghc-constraints-doc, libghc-data-binary-ieee754-doc, libghc-data-default-class-doc, libghc-extra-doc, libghc-ghc-typelits-extra-doc, libghc-ghc-typelits-knownnat-doc, libghc-ghc-typelits-natnormalise-doc, libghc-half-doc, libghc-hashable-doc, libghc-infinite-list-doc, libghc-lens-doc, libghc-recursion-schemes-doc, libghc-reflection-doc, libghc-singletons-doc, libghc-string-interpolate-doc, libghc-th-abstraction-doc, libghc-th-lift-doc, libghc-th-orphans-doc, libghc-type-errors-doc, libghc-uniplate-doc, libghc-vector-doc
dpkg-deb: warning: root directory has unusual owner or group 998:999.
Hint: either pass --root-owner-group, see dpkg-build-api(7) or add an explicit 'Rules-Requires-Root: no' in debian/control.
dpkg-deb: warning: ignoring 1 warning about the control file(s)
dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/<<RESOLVERDIR>>/apt_archive/sbuild-build-depends-main-dummy.deb'.
Ign:1 copy:/<<RESOLVERDIR>>/apt_archive ./ InRelease
Get:2 copy:/<<RESOLVERDIR>>/apt_archive ./ Release [615 B]
Ign:3 copy:/<<RESOLVERDIR>>/apt_archive ./ Release.gpg
Get:4 copy:/<<RESOLVERDIR>>/apt_archive ./ Sources [4176 B]
Get:5 copy:/<<RESOLVERDIR>>/apt_archive ./ Packages [4155 B]
Fetched 8946 B in 0s (793 kB/s)
Reading package lists...
Get:1 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release [606 B]
Get:2 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release [606 B]
Get:3 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release.gpg
Ign:3 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release.gpg
Reading package lists...
Reading package lists...
Install main build dependencies (apt-based resolver)
----------------------------------------------------
Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following additional packages will be installed:
autoconf automake autopoint autotools-dev build-essential cdbs cpp cpp-14
cpp-14-aarch64-linux-gnu cpp-aarch64-linux-gnu dctrl-tools debhelper
dh-autoreconf dh-buildinfo dh-strip-nondeterminism dwz fakeroot
fonts-mathjax g++ g++-14 g++-14-aarch64-linux-gnu g++-aarch64-linux-gnu gcc
gcc-14 gcc-14-aarch64-linux-gnu gcc-aarch64-linux-gnu ghc ghc-doc ghc-prof
haskell-devscripts-minimal html-xml-utils libasan8 libbsd-dev libc-dev-bin
libc6-dev libcc1-0 libcrypt-dev libdebhelper-perl libdevel-confess-perl
libelf1t64 libexpat1 libfakeroot libffi-dev libfile-stripnondeterminism-perl
libgcc-14-dev libghc-adjunctions-dev libghc-adjunctions-prof
libghc-ansi-terminal-dev libghc-ansi-terminal-prof
libghc-ansi-terminal-types-dev libghc-ansi-terminal-types-prof
libghc-arrows-dev libghc-arrows-doc libghc-arrows-prof libghc-assoc-dev
libghc-assoc-prof libghc-async-dev libghc-async-prof libghc-barbies-dev
libghc-barbies-prof libghc-base-compat-dev libghc-base-compat-prof
libghc-base-orphans-dev libghc-base-orphans-prof
libghc-base16-bytestring-dev libghc-base16-bytestring-prof
libghc-base64-bytestring-dev libghc-base64-bytestring-prof
libghc-bifunctors-dev libghc-bifunctors-prof libghc-boring-dev
libghc-boring-prof libghc-call-stack-dev libghc-call-stack-prof
libghc-clock-dev libghc-clock-prof libghc-code-page-dev
libghc-code-page-prof libghc-colour-dev libghc-colour-prof
libghc-comonad-dev libghc-comonad-prof libghc-concurrent-output-dev
libghc-concurrent-output-prof libghc-constraints-dev libghc-constraints-doc
libghc-constraints-prof libghc-contravariant-dev libghc-contravariant-prof
libghc-data-binary-ieee754-dev libghc-data-binary-ieee754-doc
libghc-data-binary-ieee754-prof libghc-data-default-class-dev
libghc-data-default-class-doc libghc-data-default-class-prof
libghc-data-fix-dev libghc-data-fix-prof libghc-distributive-dev
libghc-distributive-prof libghc-dlist-dev libghc-dlist-prof
libghc-doctest-parallel-dev libghc-doctest-parallel-prof libghc-erf-dev
libghc-erf-prof libghc-extra-dev libghc-extra-doc libghc-extra-prof
libghc-first-class-families-dev libghc-first-class-families-prof
libghc-free-dev libghc-free-prof libghc-ghc-paths-dev libghc-ghc-paths-prof
libghc-ghc-tcplugins-extra-dev libghc-ghc-tcplugins-extra-prof
libghc-ghc-typelits-extra-dev libghc-ghc-typelits-extra-doc
libghc-ghc-typelits-extra-prof libghc-ghc-typelits-knownnat-dev
libghc-ghc-typelits-knownnat-doc libghc-ghc-typelits-knownnat-prof
libghc-ghc-typelits-natnormalise-dev libghc-ghc-typelits-natnormalise-doc
libghc-ghc-typelits-natnormalise-prof libghc-glob-dev libghc-glob-prof
libghc-half-dev libghc-half-doc libghc-half-prof libghc-hashable-dev
libghc-hashable-doc libghc-hashable-prof libghc-haskell-lexer-dev
libghc-haskell-lexer-prof libghc-hedgehog-dev libghc-hedgehog-prof
libghc-hint-dev libghc-hint-prof libghc-indexed-traversable-dev
libghc-indexed-traversable-instances-dev
libghc-indexed-traversable-instances-prof libghc-indexed-traversable-prof
libghc-infinite-list-dev libghc-infinite-list-doc libghc-infinite-list-prof
libghc-invariant-dev libghc-invariant-prof libghc-kan-extensions-dev
libghc-kan-extensions-prof libghc-lazysmallcheck-dev
libghc-lazysmallcheck-prof libghc-lens-dev libghc-lens-doc libghc-lens-prof
libghc-lifted-async-dev libghc-lifted-async-prof libghc-lifted-base-dev
libghc-lifted-base-prof libghc-mmorph-dev libghc-mmorph-prof
libghc-monad-control-dev libghc-monad-control-prof libghc-onetuple-dev
libghc-onetuple-prof libghc-optparse-applicative-dev
libghc-optparse-applicative-prof libghc-os-string-dev libghc-os-string-prof
libghc-parallel-dev libghc-parallel-prof libghc-pretty-show-dev
libghc-pretty-show-prof libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-prof libghc-prettyprinter-dev
libghc-prettyprinter-prof libghc-primitive-dev libghc-primitive-prof
libghc-profunctors-dev libghc-profunctors-prof
libghc-quickcheck-classes-base-dev libghc-quickcheck-classes-base-prof
libghc-quickcheck2-dev libghc-quickcheck2-doc libghc-quickcheck2-prof
libghc-random-dev libghc-random-prof libghc-recursion-schemes-dev
libghc-recursion-schemes-doc libghc-recursion-schemes-prof
libghc-reflection-dev libghc-reflection-doc libghc-reflection-prof
libghc-resourcet-dev libghc-resourcet-prof libghc-safe-dev
libghc-safe-exceptions-dev libghc-safe-exceptions-prof libghc-safe-prof
libghc-semigroupoids-dev libghc-semigroupoids-prof libghc-semigroups-dev
libghc-semigroups-prof libghc-singletons-dev libghc-singletons-doc
libghc-singletons-prof libghc-split-dev libghc-split-prof
libghc-splitmix-dev libghc-splitmix-prof libghc-src-exts-dev
libghc-src-exts-prof libghc-src-meta-dev libghc-src-meta-prof
libghc-statevar-dev libghc-statevar-prof libghc-stream-dev
libghc-stream-prof libghc-strict-dev libghc-strict-prof
libghc-string-interpolate-dev libghc-string-interpolate-doc
libghc-string-interpolate-prof libghc-syb-dev libghc-syb-prof
libghc-tagged-dev libghc-tagged-prof libghc-tasty-dev
libghc-tasty-hedgehog-dev libghc-tasty-hedgehog-prof libghc-tasty-hunit-dev
libghc-tasty-hunit-prof libghc-tasty-prof libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-prof libghc-tasty-th-dev libghc-tasty-th-prof
libghc-temporary-dev libghc-temporary-prof libghc-terminal-size-dev
libghc-terminal-size-prof libghc-text-conversions-dev
libghc-text-conversions-prof libghc-th-abstraction-dev
libghc-th-abstraction-doc libghc-th-abstraction-prof libghc-th-compat-dev
libghc-th-compat-prof libghc-th-expand-syns-dev libghc-th-expand-syns-prof
libghc-th-lift-dev libghc-th-lift-doc libghc-th-lift-prof
libghc-th-orphans-dev libghc-th-orphans-doc libghc-th-orphans-prof
libghc-th-reify-many-dev libghc-th-reify-many-prof libghc-these-dev
libghc-these-prof libghc-transformers-base-dev libghc-transformers-base-prof
libghc-transformers-compat-dev libghc-transformers-compat-prof
libghc-type-errors-dev libghc-type-errors-doc libghc-type-errors-prof
libghc-uniplate-dev libghc-uniplate-doc libghc-uniplate-prof
libghc-unliftio-core-dev libghc-unliftio-core-prof
libghc-unordered-containers-dev libghc-unordered-containers-prof
libghc-utf8-string-dev libghc-utf8-string-prof libghc-vector-dev
libghc-vector-doc libghc-vector-prof libghc-vector-stream-dev
libghc-vector-stream-prof libghc-void-dev libghc-void-prof
libghc-wl-pprint-annotated-dev libghc-wl-pprint-annotated-prof libgmp-dev
libgmpxx4ldbl libhwasan0 libisl23 libitm1 libjs-mathjax liblsan0 libmd-dev
libmpc3 libmpfr6 libncurses-dev libncurses6 libncursesw6 libnsl2 libnuma-dev
libnuma1 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib
libstdc++-14-dev libtirpc-common libtirpc3t64 libtool libtsan2 libubsan1
linux-libc-dev m4 media-types po-debconf python3 python3-minimal
python3-scour python3.12 python3.12-minimal rpcsvc-proto scour
Suggested packages:
autoconf-archive gnu-standards autoconf-doc devscripts cpp-doc
gcc-14-locales cpp-14-doc debtags dh-make gcc-14-doc gcc-multilib
manpages-dev flex bison gdb gcc-doc gdb-aarch64-linux-gnu llvm-18
haskell-devscripts libc-devtools glibc-doc gmp-doc libgmp10-doc libmpfr-dev
fonts-mathjax-extras fonts-stix libjs-mathjax-doc ncurses-doc
libstdc++-14-doc libtool-doc gfortran | fortran95-compiler gcj-jdk m4-doc
libmail-box-perl python3-doc python3-tk python3-venv python3.12-venv
python3.12-doc binfmt-support gir1.2-rsvg-2.0 python3-gi-cairo
Recommended packages:
manpages manpages-dev libarchive-cpio-perl libghc-stream-doc
libghc-boring-doc libghc-clock-doc libghc-ghc-tcplugins-extra-doc
libghc-os-string-doc libghc-assoc-doc libghc-base-orphans-doc
libghc-bifunctors-doc libghc-call-stack-doc libghc-comonad-doc
libghc-contravariant-doc libghc-distributive-doc libghc-free-doc
libghc-indexed-traversable-doc libghc-indexed-traversable-instances-doc
libghc-kan-extensions-doc libghc-parallel-doc libghc-profunctors-doc
libghc-semigroupoids-doc libghc-strict-doc libghc-tagged-doc
libghc-these-doc libghc-unordered-containers-doc libghc-random-doc
libghc-splitmix-doc libghc-data-fix-doc libghc-src-exts-doc
libghc-src-meta-doc libghc-text-conversions-doc libghc-utf8-string-doc
libghc-th-compat-doc libghc-th-reify-many-doc
libghc-first-class-families-doc libghc-syb-doc libghc-primitive-doc
libghc-vector-stream-doc libgpm2 libltdl-dev libmail-sendmail-perl
The following NEW packages will be installed:
autoconf automake autopoint autotools-dev build-essential cdbs cpp cpp-14
cpp-14-aarch64-linux-gnu cpp-aarch64-linux-gnu dctrl-tools debhelper
dh-autoreconf dh-buildinfo dh-strip-nondeterminism dwz fakeroot
fonts-mathjax g++ g++-14 g++-14-aarch64-linux-gnu g++-aarch64-linux-gnu gcc
gcc-14 gcc-14-aarch64-linux-gnu gcc-aarch64-linux-gnu ghc ghc-doc ghc-prof
haskell-devscripts-minimal html-xml-utils libasan8 libbsd-dev libc-dev-bin
libc6-dev libcc1-0 libcrypt-dev libdebhelper-perl libdevel-confess-perl
libelf1t64 libexpat1 libfakeroot libffi-dev libfile-stripnondeterminism-perl
libgcc-14-dev libghc-adjunctions-dev libghc-adjunctions-prof
libghc-ansi-terminal-dev libghc-ansi-terminal-prof
libghc-ansi-terminal-types-dev libghc-ansi-terminal-types-prof
libghc-arrows-dev libghc-arrows-doc libghc-arrows-prof libghc-assoc-dev
libghc-assoc-prof libghc-async-dev libghc-async-prof libghc-barbies-dev
libghc-barbies-prof libghc-base-compat-dev libghc-base-compat-prof
libghc-base-orphans-dev libghc-base-orphans-prof
libghc-base16-bytestring-dev libghc-base16-bytestring-prof
libghc-base64-bytestring-dev libghc-base64-bytestring-prof
libghc-bifunctors-dev libghc-bifunctors-prof libghc-boring-dev
libghc-boring-prof libghc-call-stack-dev libghc-call-stack-prof
libghc-clock-dev libghc-clock-prof libghc-code-page-dev
libghc-code-page-prof libghc-colour-dev libghc-colour-prof
libghc-comonad-dev libghc-comonad-prof libghc-concurrent-output-dev
libghc-concurrent-output-prof libghc-constraints-dev libghc-constraints-doc
libghc-constraints-prof libghc-contravariant-dev libghc-contravariant-prof
libghc-data-binary-ieee754-dev libghc-data-binary-ieee754-doc
libghc-data-binary-ieee754-prof libghc-data-default-class-dev
libghc-data-default-class-doc libghc-data-default-class-prof
libghc-data-fix-dev libghc-data-fix-prof libghc-distributive-dev
libghc-distributive-prof libghc-dlist-dev libghc-dlist-prof
libghc-doctest-parallel-dev libghc-doctest-parallel-prof libghc-erf-dev
libghc-erf-prof libghc-extra-dev libghc-extra-doc libghc-extra-prof
libghc-first-class-families-dev libghc-first-class-families-prof
libghc-free-dev libghc-free-prof libghc-ghc-paths-dev libghc-ghc-paths-prof
libghc-ghc-tcplugins-extra-dev libghc-ghc-tcplugins-extra-prof
libghc-ghc-typelits-extra-dev libghc-ghc-typelits-extra-doc
libghc-ghc-typelits-extra-prof libghc-ghc-typelits-knownnat-dev
libghc-ghc-typelits-knownnat-doc libghc-ghc-typelits-knownnat-prof
libghc-ghc-typelits-natnormalise-dev libghc-ghc-typelits-natnormalise-doc
libghc-ghc-typelits-natnormalise-prof libghc-glob-dev libghc-glob-prof
libghc-half-dev libghc-half-doc libghc-half-prof libghc-hashable-dev
libghc-hashable-doc libghc-hashable-prof libghc-haskell-lexer-dev
libghc-haskell-lexer-prof libghc-hedgehog-dev libghc-hedgehog-prof
libghc-hint-dev libghc-hint-prof libghc-indexed-traversable-dev
libghc-indexed-traversable-instances-dev
libghc-indexed-traversable-instances-prof libghc-indexed-traversable-prof
libghc-infinite-list-dev libghc-infinite-list-doc libghc-infinite-list-prof
libghc-invariant-dev libghc-invariant-prof libghc-kan-extensions-dev
libghc-kan-extensions-prof libghc-lazysmallcheck-dev
libghc-lazysmallcheck-prof libghc-lens-dev libghc-lens-doc libghc-lens-prof
libghc-lifted-async-dev libghc-lifted-async-prof libghc-lifted-base-dev
libghc-lifted-base-prof libghc-mmorph-dev libghc-mmorph-prof
libghc-monad-control-dev libghc-monad-control-prof libghc-onetuple-dev
libghc-onetuple-prof libghc-optparse-applicative-dev
libghc-optparse-applicative-prof libghc-os-string-dev libghc-os-string-prof
libghc-parallel-dev libghc-parallel-prof libghc-pretty-show-dev
libghc-pretty-show-prof libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-prof libghc-prettyprinter-dev
libghc-prettyprinter-prof libghc-primitive-dev libghc-primitive-prof
libghc-profunctors-dev libghc-profunctors-prof
libghc-quickcheck-classes-base-dev libghc-quickcheck-classes-base-prof
libghc-quickcheck2-dev libghc-quickcheck2-doc libghc-quickcheck2-prof
libghc-random-dev libghc-random-prof libghc-recursion-schemes-dev
libghc-recursion-schemes-doc libghc-recursion-schemes-prof
libghc-reflection-dev libghc-reflection-doc libghc-reflection-prof
libghc-resourcet-dev libghc-resourcet-prof libghc-safe-dev
libghc-safe-exceptions-dev libghc-safe-exceptions-prof libghc-safe-prof
libghc-semigroupoids-dev libghc-semigroupoids-prof libghc-semigroups-dev
libghc-semigroups-prof libghc-singletons-dev libghc-singletons-doc
libghc-singletons-prof libghc-split-dev libghc-split-prof
libghc-splitmix-dev libghc-splitmix-prof libghc-src-exts-dev
libghc-src-exts-prof libghc-src-meta-dev libghc-src-meta-prof
libghc-statevar-dev libghc-statevar-prof libghc-stream-dev
libghc-stream-prof libghc-strict-dev libghc-strict-prof
libghc-string-interpolate-dev libghc-string-interpolate-doc
libghc-string-interpolate-prof libghc-syb-dev libghc-syb-prof
libghc-tagged-dev libghc-tagged-prof libghc-tasty-dev
libghc-tasty-hedgehog-dev libghc-tasty-hedgehog-prof libghc-tasty-hunit-dev
libghc-tasty-hunit-prof libghc-tasty-prof libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-prof libghc-tasty-th-dev libghc-tasty-th-prof
libghc-temporary-dev libghc-temporary-prof libghc-terminal-size-dev
libghc-terminal-size-prof libghc-text-conversions-dev
libghc-text-conversions-prof libghc-th-abstraction-dev
libghc-th-abstraction-doc libghc-th-abstraction-prof libghc-th-compat-dev
libghc-th-compat-prof libghc-th-expand-syns-dev libghc-th-expand-syns-prof
libghc-th-lift-dev libghc-th-lift-doc libghc-th-lift-prof
libghc-th-orphans-dev libghc-th-orphans-doc libghc-th-orphans-prof
libghc-th-reify-many-dev libghc-th-reify-many-prof libghc-these-dev
libghc-these-prof libghc-transformers-base-dev libghc-transformers-base-prof
libghc-transformers-compat-dev libghc-transformers-compat-prof
libghc-type-errors-dev libghc-type-errors-doc libghc-type-errors-prof
libghc-uniplate-dev libghc-uniplate-doc libghc-uniplate-prof
libghc-unliftio-core-dev libghc-unliftio-core-prof
libghc-unordered-containers-dev libghc-unordered-containers-prof
libghc-utf8-string-dev libghc-utf8-string-prof libghc-vector-dev
libghc-vector-doc libghc-vector-prof libghc-vector-stream-dev
libghc-vector-stream-prof libghc-void-dev libghc-void-prof
libghc-wl-pprint-annotated-dev libghc-wl-pprint-annotated-prof libgmp-dev
libgmpxx4ldbl libhwasan0 libisl23 libitm1 libjs-mathjax liblsan0 libmd-dev
libmpc3 libmpfr6 libncurses-dev libncurses6 libncursesw6 libnsl2 libnuma-dev
libnuma1 libpython3-stdlib libpython3.12-minimal libpython3.12-stdlib
libstdc++-14-dev libtirpc-common libtirpc3t64 libtool libtsan2 libubsan1
linux-libc-dev m4 media-types po-debconf python3 python3-minimal
python3-scour python3.12 python3.12-minimal rpcsvc-proto
sbuild-build-depends-main-dummy scour
0 upgraded, 323 newly installed, 0 to remove and 0 not upgraded.
Need to get 309 MB of archives.
After this operation, 3196 MB of additional disk space will be used.
Get:1 copy:/<<RESOLVERDIR>>/apt_archive ./ sbuild-build-depends-main-dummy 0.invalid.0 [1564 B]
Get:2 http://deb.debian.org/debian sid/main arm64 libisl23 arm64 0.27-1 [601 kB]
Get:3 http://deb.debian.org/debian sid/main arm64 libmpfr6 arm64 4.2.1-1+b2 [680 kB]
Get:4 http://deb.debian.org/debian sid/main arm64 libmpc3 arm64 1.3.1-1+b3 [50.5 kB]
Get:5 http://deb.debian.org/debian sid/main arm64 cpp-14-aarch64-linux-gnu arm64 14.2.0-8 [9166 kB]
Get:6 http://deb.debian.org/debian sid/main arm64 cpp-14 arm64 14.2.0-8 [1284 B]
Get:7 http://deb.debian.org/debian sid/main arm64 cpp-aarch64-linux-gnu arm64 4:14.2.0-1 [4832 B]
Get:8 http://deb.debian.org/debian sid/main arm64 cpp arm64 4:14.2.0-1 [1568 B]
Get:9 http://deb.debian.org/debian sid/main arm64 libcc1-0 arm64 14.2.0-8 [42.2 kB]
Get:10 http://deb.debian.org/debian sid/main arm64 libitm1 arm64 14.2.0-8 [24.2 kB]
Get:11 http://deb.debian.org/debian sid/main arm64 libasan8 arm64 14.2.0-8 [2579 kB]
Get:12 http://deb.debian.org/debian sid/main arm64 liblsan0 arm64 14.2.0-8 [1161 kB]
Get:13 http://deb.debian.org/debian sid/main arm64 libtsan2 arm64 14.2.0-8 [2386 kB]
Get:14 http://deb.debian.org/debian sid/main arm64 libubsan1 arm64 14.2.0-8 [1039 kB]
Get:15 http://deb.debian.org/debian sid/main arm64 libhwasan0 arm64 14.2.0-8 [1442 kB]
Get:16 http://deb.debian.org/debian sid/main arm64 libgcc-14-dev arm64 14.2.0-8 [2365 kB]
Get:17 http://deb.debian.org/debian sid/main arm64 gcc-14-aarch64-linux-gnu arm64 14.2.0-8 [17.7 MB]
Get:18 http://deb.debian.org/debian sid/main arm64 gcc-14 arm64 14.2.0-8 [519 kB]
Get:19 http://deb.debian.org/debian sid/main arm64 gcc-aarch64-linux-gnu arm64 4:14.2.0-1 [1440 B]
Get:20 http://deb.debian.org/debian sid/main arm64 gcc arm64 4:14.2.0-1 [5136 B]
Get:21 http://deb.debian.org/debian sid/main arm64 libgmpxx4ldbl arm64 2:6.3.0+dfsg-2+b2 [329 kB]
Get:22 http://deb.debian.org/debian sid/main arm64 libgmp-dev arm64 2:6.3.0+dfsg-2+b2 [621 kB]
Get:23 http://deb.debian.org/debian sid/main arm64 libffi-dev arm64 3.4.6-1 [57.0 kB]
Get:24 http://deb.debian.org/debian sid/main arm64 libmd-dev arm64 1.1.0-2+b1 [53.3 kB]
Get:25 http://deb.debian.org/debian sid/main arm64 libbsd-dev arm64 0.12.2-2 [257 kB]
Get:26 http://deb.debian.org/debian sid/main arm64 libc-dev-bin arm64 2.40-3 [50.9 kB]
Get:27 http://deb.debian.org/debian sid/main arm64 linux-libc-dev all 6.11.7-1 [2454 kB]
Get:28 http://deb.debian.org/debian sid/main arm64 libcrypt-dev arm64 1:4.4.36-5 [122 kB]
Get:29 http://deb.debian.org/debian sid/main arm64 rpcsvc-proto arm64 1.4.3-1+b1 [60.5 kB]
Get:30 http://deb.debian.org/debian sid/main arm64 libc6-dev arm64 2.40-3 [1591 kB]
Get:31 http://deb.debian.org/debian sid/main arm64 libncurses6 arm64 6.5-2+b1 [96.1 kB]
Get:32 http://deb.debian.org/debian sid/main arm64 libncursesw6 arm64 6.5-2+b1 [125 kB]
Get:33 http://deb.debian.org/debian sid/main arm64 libncurses-dev arm64 6.5-2+b1 [338 kB]
Get:34 http://deb.debian.org/debian sid/main arm64 libnuma1 arm64 2.0.18-1+b1 [21.8 kB]
Get:35 http://deb.debian.org/debian sid/main arm64 libnuma-dev arm64 2.0.18-1+b1 [37.8 kB]
Get:36 http://deb.debian.org/debian sid/main arm64 ghc arm64 9.6.6-3 [80.5 MB]
Get:37 http://deb.debian.org/debian sid/main arm64 fonts-mathjax all 2.7.9+dfsg-1 [2210 kB]
Get:38 http://deb.debian.org/debian sid/main arm64 libjs-mathjax all 2.7.9+dfsg-1 [5667 kB]
Get:39 http://deb.debian.org/debian sid/main arm64 ghc-doc all 9.6.6-3 [33.7 MB]
Get:40 http://deb.debian.org/debian sid/main arm64 libpython3.12-minimal arm64 3.12.7-3 [808 kB]
Get:41 http://deb.debian.org/debian sid/main arm64 libexpat1 arm64 2.6.4-1 [90.7 kB]
Get:42 http://deb.debian.org/debian sid/main arm64 python3.12-minimal arm64 3.12.7-3 [1940 kB]
Get:43 http://deb.debian.org/debian sid/main arm64 python3-minimal arm64 3.12.7-1 [26.8 kB]
Get:44 http://deb.debian.org/debian sid/main arm64 media-types all 10.1.0 [26.9 kB]
Get:45 http://deb.debian.org/debian sid/main arm64 libtirpc-common all 1.3.4+ds-1.3 [10.9 kB]
Get:46 http://deb.debian.org/debian sid/main arm64 libtirpc3t64 arm64 1.3.4+ds-1.3+b1 [78.7 kB]
Get:47 http://deb.debian.org/debian sid/main arm64 libnsl2 arm64 1.3.0-3+b3 [37.9 kB]
Get:48 http://deb.debian.org/debian sid/main arm64 libpython3.12-stdlib arm64 3.12.7-3 [1902 kB]
Get:49 http://deb.debian.org/debian sid/main arm64 python3.12 arm64 3.12.7-3 [671 kB]
Get:50 http://deb.debian.org/debian sid/main arm64 libpython3-stdlib arm64 3.12.7-1 [9708 B]
Get:51 http://deb.debian.org/debian sid/main arm64 python3 arm64 3.12.7-1 [27.8 kB]
Get:52 http://deb.debian.org/debian sid/main arm64 m4 arm64 1.4.19-4 [277 kB]
Get:53 http://deb.debian.org/debian sid/main arm64 autoconf all 2.72-3 [493 kB]
Get:54 http://deb.debian.org/debian sid/main arm64 autotools-dev all 20220109.1 [51.6 kB]
Get:55 http://deb.debian.org/debian sid/main arm64 automake all 1:1.16.5-1.3 [823 kB]
Get:56 http://deb.debian.org/debian sid/main arm64 autopoint all 0.22.5-2 [723 kB]
Get:57 http://deb.debian.org/debian sid/main arm64 libstdc++-14-dev arm64 14.2.0-8 [2267 kB]
Get:58 http://deb.debian.org/debian sid/main arm64 g++-14-aarch64-linux-gnu arm64 14.2.0-8 [10.1 MB]
Get:59 http://deb.debian.org/debian sid/main arm64 g++-14 arm64 14.2.0-8 [20.2 kB]
Get:60 http://deb.debian.org/debian sid/main arm64 g++-aarch64-linux-gnu arm64 4:14.2.0-1 [1200 B]
Get:61 http://deb.debian.org/debian sid/main arm64 g++ arm64 4:14.2.0-1 [1332 B]
Get:62 http://deb.debian.org/debian sid/main arm64 build-essential arm64 12.12 [4624 B]
Get:63 http://deb.debian.org/debian sid/main arm64 python3-scour all 0.38.2-5 [55.7 kB]
Get:64 http://deb.debian.org/debian sid/main arm64 scour all 0.38.2-5 [16.3 kB]
Get:65 http://deb.debian.org/debian sid/main arm64 cdbs all 0.4.166 [47.3 kB]
Get:66 http://deb.debian.org/debian sid/main arm64 dctrl-tools arm64 2.24-3+b1 [125 kB]
Get:67 http://deb.debian.org/debian sid/main arm64 libdebhelper-perl all 13.20 [89.7 kB]
Get:68 http://deb.debian.org/debian sid/main arm64 libtool all 2.4.7-8 [517 kB]
Get:69 http://deb.debian.org/debian sid/main arm64 dh-autoreconf all 20 [17.1 kB]
Get:70 http://deb.debian.org/debian sid/main arm64 libfile-stripnondeterminism-perl all 1.14.0-1 [19.5 kB]
Get:71 http://deb.debian.org/debian sid/main arm64 dh-strip-nondeterminism all 1.14.0-1 [8448 B]
Get:72 http://deb.debian.org/debian sid/main arm64 libelf1t64 arm64 0.192-4 [189 kB]
Get:73 http://deb.debian.org/debian sid/main arm64 dwz arm64 0.15-1+b1 [102 kB]
Get:74 http://deb.debian.org/debian sid/main arm64 po-debconf all 1.0.21+nmu1 [248 kB]
Get:75 http://deb.debian.org/debian sid/main arm64 debhelper all 13.20 [915 kB]
Get:76 http://deb.debian.org/debian sid/main arm64 dh-buildinfo all 0.11+nmu3 [17.1 kB]
Get:77 http://deb.debian.org/debian sid/main arm64 libfakeroot arm64 1.36-1 [29.1 kB]
Get:78 http://deb.debian.org/debian sid/main arm64 fakeroot arm64 1.36-1 [74.4 kB]
Get:79 http://deb.debian.org/debian sid/main arm64 ghc-prof arm64 9.6.6-3 [64.5 MB]
Get:80 http://deb.debian.org/debian sid/main arm64 html-xml-utils arm64 7.7-1.1+b2 [291 kB]
Get:81 http://deb.debian.org/debian sid/main arm64 libdevel-confess-perl all 0.009004-4 [19.3 kB]
Get:82 http://deb.debian.org/debian sid/main arm64 haskell-devscripts-minimal all 0.16.33 [53.0 kB]
Get:83 http://deb.debian.org/debian sid/main arm64 libghc-base-orphans-dev arm64 0.9.2-1 [38.0 kB]
Get:84 http://deb.debian.org/debian sid/main arm64 libghc-tagged-dev arm64 0.8.8-1 [76.3 kB]
Get:85 http://deb.debian.org/debian sid/main arm64 libghc-distributive-dev arm64 0.6.2.1-3 [50.4 kB]
Get:86 http://deb.debian.org/debian sid/main arm64 libghc-indexed-traversable-dev arm64 0.1.4-1 [143 kB]
Get:87 http://deb.debian.org/debian sid/main arm64 libghc-transformers-compat-dev arm64 0.7.2-2 [127 kB]
Get:88 http://deb.debian.org/debian sid/main arm64 libghc-comonad-dev arm64 5.0.8-3 [125 kB]
Get:89 http://deb.debian.org/debian sid/main arm64 libghc-statevar-dev arm64 1.2.2-3 [26.4 kB]
Get:90 http://deb.debian.org/debian sid/main arm64 libghc-contravariant-dev arm64 1.5.5-3 [73.2 kB]
Get:91 http://deb.debian.org/debian sid/main arm64 libghc-assoc-dev arm64 1.1.1-1 [17.2 kB]
Get:92 http://deb.debian.org/debian sid/main arm64 libghc-th-abstraction-dev arm64 0.5.0.0-1 [211 kB]
Get:93 http://deb.debian.org/debian sid/main arm64 libghc-bifunctors-dev arm64 5.6.2-1 [527 kB]
Get:94 http://deb.debian.org/debian sid/main arm64 libghc-profunctors-dev arm64 5.6.2-3 [361 kB]
Get:95 http://deb.debian.org/debian sid/main arm64 libghc-os-string-dev arm64 2.0.6-2 [204 kB]
Get:96 http://deb.debian.org/debian sid/main arm64 libghc-hashable-dev arm64 1.4.4.0-1 [126 kB]
Get:97 http://deb.debian.org/debian sid/main arm64 libghc-unordered-containers-dev arm64 0.2.20-3 [314 kB]
Get:98 http://deb.debian.org/debian sid/main arm64 libghc-semigroupoids-dev arm64 6.0.1-1 [412 kB]
Get:99 http://deb.debian.org/debian sid/main arm64 libghc-transformers-base-dev arm64 0.4.6-3 [28.8 kB]
Get:100 http://deb.debian.org/debian sid/main arm64 libghc-free-dev arm64 5.2-1 [735 kB]
Get:101 http://deb.debian.org/debian sid/main arm64 libghc-semigroups-dev arm64 0.20-3 [20.6 kB]
Get:102 http://deb.debian.org/debian sid/main arm64 libghc-void-dev arm64 0.7.3-5 [10.6 kB]
Get:103 http://deb.debian.org/debian sid/main arm64 libghc-adjunctions-dev arm64 4.4.2-3 [207 kB]
Get:104 http://deb.debian.org/debian sid/main arm64 libghc-base-orphans-prof arm64 0.9.2-1 [38.5 kB]
Get:105 http://deb.debian.org/debian sid/main arm64 libghc-tagged-prof arm64 0.8.8-1 [82.7 kB]
Get:106 http://deb.debian.org/debian sid/main arm64 libghc-distributive-prof arm64 0.6.2.1-3 [57.0 kB]
Get:107 http://deb.debian.org/debian sid/main arm64 libghc-indexed-traversable-prof arm64 0.1.4-1 [159 kB]
Get:108 http://deb.debian.org/debian sid/main arm64 libghc-transformers-compat-prof arm64 0.7.2-2 [134 kB]
Get:109 http://deb.debian.org/debian sid/main arm64 libghc-comonad-prof arm64 5.0.8-3 [141 kB]
Get:110 http://deb.debian.org/debian sid/main arm64 libghc-statevar-prof arm64 1.2.2-3 [25.8 kB]
Get:111 http://deb.debian.org/debian sid/main arm64 libghc-contravariant-prof arm64 1.5.5-3 [78.8 kB]
Get:112 http://deb.debian.org/debian sid/main arm64 libghc-assoc-prof arm64 1.1.1-1 [15.2 kB]
Get:113 http://deb.debian.org/debian sid/main arm64 libghc-th-abstraction-prof arm64 0.5.0.0-1 [224 kB]
Get:114 http://deb.debian.org/debian sid/main arm64 libghc-bifunctors-prof arm64 5.6.2-1 [624 kB]
Get:115 http://deb.debian.org/debian sid/main arm64 libghc-profunctors-prof arm64 5.6.2-3 [407 kB]
Get:116 http://deb.debian.org/debian sid/main arm64 libghc-os-string-prof arm64 2.0.6-2 [252 kB]
Get:117 http://deb.debian.org/debian sid/main arm64 libghc-hashable-prof arm64 1.4.4.0-1 [139 kB]
Get:118 http://deb.debian.org/debian sid/main arm64 libghc-unordered-containers-prof arm64 0.2.20-3 [435 kB]
Get:119 http://deb.debian.org/debian sid/main arm64 libghc-semigroupoids-prof arm64 6.0.1-1 [467 kB]
Get:120 http://deb.debian.org/debian sid/main arm64 libghc-transformers-base-prof arm64 0.4.6-3 [32.2 kB]
Get:121 http://deb.debian.org/debian sid/main arm64 libghc-free-prof arm64 5.2-1 [871 kB]
Get:122 http://deb.debian.org/debian sid/main arm64 libghc-semigroups-prof arm64 0.20-3 [20.1 kB]
Get:123 http://deb.debian.org/debian sid/main arm64 libghc-void-prof arm64 0.7.3-5 [9520 B]
Get:124 http://deb.debian.org/debian sid/main arm64 libghc-adjunctions-prof arm64 4.4.2-3 [252 kB]
Get:125 http://deb.debian.org/debian sid/main arm64 libghc-colour-dev arm64 2.3.6-3 [212 kB]
Get:126 http://deb.debian.org/debian sid/main arm64 libghc-ansi-terminal-types-dev arm64 0.11.5-3 [102 kB]
Get:127 http://deb.debian.org/debian sid/main arm64 libghc-ansi-terminal-dev arm64 1.0.2-1 [68.1 kB]
Get:128 http://deb.debian.org/debian sid/main arm64 libghc-colour-prof arm64 2.3.6-3 [229 kB]
Get:129 http://deb.debian.org/debian sid/main arm64 libghc-ansi-terminal-types-prof arm64 0.11.5-3 [99.5 kB]
Get:130 http://deb.debian.org/debian sid/main arm64 libghc-ansi-terminal-prof arm64 1.0.2-1 [72.1 kB]
Get:131 http://deb.debian.org/debian sid/main arm64 libghc-lazysmallcheck-dev arm64 0.6-15 [64.5 kB]
Get:132 http://deb.debian.org/debian sid/main arm64 libghc-splitmix-dev arm64 0.1.0.5-2 [50.2 kB]
Get:133 http://deb.debian.org/debian sid/main arm64 libghc-random-dev arm64 1.2.1.2-1 [323 kB]
Get:134 http://deb.debian.org/debian sid/main arm64 libghc-quickcheck2-dev arm64 2.14.3-2 [696 kB]
Get:135 http://deb.debian.org/debian sid/main arm64 libghc-stream-dev arm64 0.4.7.2-10 [38.9 kB]
Get:136 http://deb.debian.org/debian sid/main arm64 libghc-arrows-dev arm64 0.4.4.2-6 [222 kB]
Get:137 http://deb.debian.org/debian sid/main arm64 libghc-arrows-doc all 0.4.4.2-6 [108 kB]
Get:138 http://deb.debian.org/debian sid/main arm64 libghc-lazysmallcheck-prof arm64 0.6-15 [59.5 kB]
Get:139 http://deb.debian.org/debian sid/main arm64 libghc-splitmix-prof arm64 0.1.0.5-2 [51.1 kB]
Get:140 http://deb.debian.org/debian sid/main arm64 libghc-random-prof arm64 1.2.1.2-1 [351 kB]
Get:141 http://deb.debian.org/debian sid/main arm64 libghc-quickcheck2-prof arm64 2.14.3-2 [797 kB]
Get:142 http://deb.debian.org/debian sid/main arm64 libghc-stream-prof arm64 0.4.7.2-10 [44.2 kB]
Get:143 http://deb.debian.org/debian sid/main arm64 libghc-arrows-prof arm64 0.4.4.2-6 [260 kB]
Get:144 http://deb.debian.org/debian sid/main arm64 libghc-async-dev arm64 2.2.5-1 [86.9 kB]
Get:145 http://deb.debian.org/debian sid/main arm64 libghc-async-prof arm64 2.2.5-1 [90.2 kB]
Get:146 http://deb.debian.org/debian sid/main arm64 libghc-barbies-dev arm64 2.0.5.0-1 [333 kB]
Get:147 http://deb.debian.org/debian sid/main arm64 libghc-barbies-prof arm64 2.0.5.0-1 [365 kB]
Get:148 http://deb.debian.org/debian sid/main arm64 libghc-base-compat-dev arm64 0.13.1-1 [96.4 kB]
Get:149 http://deb.debian.org/debian sid/main arm64 libghc-base-compat-prof arm64 0.13.1-1 [89.6 kB]
Get:150 http://deb.debian.org/debian sid/main arm64 libghc-base16-bytestring-dev arm64 1.0.2.0-3 [29.0 kB]
Get:151 http://deb.debian.org/debian sid/main arm64 libghc-base16-bytestring-prof arm64 1.0.2.0-3 [27.7 kB]
Get:152 http://deb.debian.org/debian sid/main arm64 libghc-base64-bytestring-dev arm64 1.2.1.0-3 [48.6 kB]
Get:153 http://deb.debian.org/debian sid/main arm64 libghc-base64-bytestring-prof arm64 1.2.1.0-3 [57.0 kB]
Get:154 http://deb.debian.org/debian sid/main arm64 libghc-boring-dev arm64 0.2.2-1 [25.9 kB]
Get:155 http://deb.debian.org/debian sid/main arm64 libghc-boring-prof arm64 0.2.2-1 [24.1 kB]
Get:156 http://deb.debian.org/debian sid/main arm64 libghc-call-stack-dev arm64 0.4.0-3 [21.9 kB]
Get:157 http://deb.debian.org/debian sid/main arm64 libghc-call-stack-prof arm64 0.4.0-3 [20.2 kB]
Get:158 http://deb.debian.org/debian sid/main arm64 libghc-clock-dev arm64 0.8.4-2 [92.6 kB]
Get:159 http://deb.debian.org/debian sid/main arm64 libghc-clock-prof arm64 0.8.4-2 [87.9 kB]
Get:160 http://deb.debian.org/debian sid/main arm64 libghc-code-page-dev arm64 0.2.1-3 [26.4 kB]
Get:161 http://deb.debian.org/debian sid/main arm64 libghc-code-page-prof arm64 0.2.1-3 [26.6 kB]
Get:162 http://deb.debian.org/debian sid/main arm64 libghc-terminal-size-dev arm64 0.3.4-2 [47.0 kB]
Get:163 http://deb.debian.org/debian sid/main arm64 libghc-concurrent-output-dev arm64 1.10.21-1 [150 kB]
Get:164 http://deb.debian.org/debian sid/main arm64 libghc-terminal-size-prof arm64 0.3.4-2 [47.6 kB]
Get:165 http://deb.debian.org/debian sid/main arm64 libghc-concurrent-output-prof arm64 1.10.21-1 [155 kB]
Get:166 http://deb.debian.org/debian sid/main arm64 libghc-constraints-dev arm64 0.14.2-1 [245 kB]
Get:167 http://deb.debian.org/debian sid/main arm64 libghc-constraints-doc all 0.14.2-1 [152 kB]
Get:168 http://deb.debian.org/debian sid/main arm64 libghc-constraints-prof arm64 0.14.2-1 [252 kB]
Get:169 http://deb.debian.org/debian sid/main arm64 libghc-data-binary-ieee754-dev arm64 0.4.4-13 [24.0 kB]
Get:170 http://deb.debian.org/debian sid/main arm64 libghc-data-binary-ieee754-doc all 0.4.4-13 [40.2 kB]
Get:171 http://deb.debian.org/debian sid/main arm64 libghc-data-binary-ieee754-prof arm64 0.4.4-13 [25.6 kB]
Get:172 http://deb.debian.org/debian sid/main arm64 libghc-data-default-class-dev arm64 0.1.2.2-1 [21.7 kB]
Get:173 http://deb.debian.org/debian sid/main arm64 libghc-data-default-class-doc all 0.1.2.2-1 [43.3 kB]
Get:174 http://deb.debian.org/debian sid/main arm64 libghc-data-default-class-prof arm64 0.1.2.2-1 [19.1 kB]
Get:175 http://deb.debian.org/debian sid/main arm64 libghc-data-fix-dev arm64 0.3.4-1 [49.1 kB]
Get:176 http://deb.debian.org/debian sid/main arm64 libghc-data-fix-prof arm64 0.3.4-1 [57.2 kB]
Get:177 http://deb.debian.org/debian sid/main arm64 libghc-dlist-dev arm64 1.0-4 [69.1 kB]
Get:178 http://deb.debian.org/debian sid/main arm64 libghc-dlist-prof arm64 1.0-4 [77.3 kB]
Get:179 http://deb.debian.org/debian sid/main arm64 libghc-ghc-paths-dev arm64 0.1.0.12-4 [12.4 kB]
Get:180 http://deb.debian.org/debian sid/main arm64 libghc-glob-dev arm64 0.10.2-3 [122 kB]
Get:181 http://deb.debian.org/debian sid/main arm64 libghc-syb-dev arm64 0.7.2.4-2 [112 kB]
Get:182 http://deb.debian.org/debian sid/main arm64 libghc-doctest-parallel-dev arm64 0.3.1.1-1+b1 [330 kB]
Get:183 http://deb.debian.org/debian sid/main arm64 libghc-ghc-paths-prof arm64 0.1.0.12-4 [11.5 kB]
Get:184 http://deb.debian.org/debian sid/main arm64 libghc-glob-prof arm64 0.10.2-3 [135 kB]
Get:185 http://deb.debian.org/debian sid/main arm64 libghc-syb-prof arm64 0.7.2.4-2 [117 kB]
Get:186 http://deb.debian.org/debian sid/main arm64 libghc-doctest-parallel-prof arm64 0.3.1.1-1+b1 [343 kB]
Get:187 http://deb.debian.org/debian sid/main arm64 libghc-erf-dev arm64 2.0.0.0-19 [22.0 kB]
Get:188 http://deb.debian.org/debian sid/main arm64 libghc-erf-prof arm64 2.0.0.0-19 [20.1 kB]
Get:189 http://deb.debian.org/debian sid/main arm64 libghc-extra-dev arm64 1.7.16-1 [181 kB]
Get:190 http://deb.debian.org/debian sid/main arm64 libghc-extra-doc all 1.7.16-1 [174 kB]
Get:191 http://deb.debian.org/debian sid/main arm64 libghc-extra-prof arm64 1.7.16-1 [210 kB]
Get:192 http://deb.debian.org/debian sid/main arm64 libghc-first-class-families-dev arm64 0.8.1.0-1 [79.7 kB]
Get:193 http://deb.debian.org/debian sid/main arm64 libghc-first-class-families-prof arm64 0.8.1.0-1 [67.8 kB]
Get:194 http://deb.debian.org/debian sid/main arm64 libghc-ghc-tcplugins-extra-dev arm64 0.4.6-1+b1 [76.0 kB]
Get:195 http://deb.debian.org/debian sid/main arm64 libghc-ghc-tcplugins-extra-prof arm64 0.4.6-1+b1 [77.1 kB]
Get:196 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-natnormalise-dev arm64 0.7.10-1+b1 [170 kB]
Get:197 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-knownnat-dev arm64 0.7.12-1+b1 [71.8 kB]
Get:198 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-extra-dev arm64 0.4.7-1+b1 [103 kB]
Get:199 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-extra-doc all 0.4.7-1 [70.8 kB]
Get:200 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-natnormalise-prof arm64 0.7.10-1+b1 [175 kB]
Get:201 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-knownnat-prof arm64 0.7.12-1+b1 [68.6 kB]
Get:202 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-extra-prof arm64 0.4.7-1+b1 [99.2 kB]
Get:203 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-knownnat-doc all 0.7.12-1 [70.8 kB]
Get:204 http://deb.debian.org/debian sid/main arm64 libghc-ghc-typelits-natnormalise-doc all 0.7.10-1 [108 kB]
Get:205 http://deb.debian.org/debian sid/main arm64 libghc-half-dev arm64 0.3.1-3 [55.2 kB]
Get:206 http://deb.debian.org/debian sid/main arm64 libghc-half-doc all 0.3.1-3 [52.8 kB]
Get:207 http://deb.debian.org/debian sid/main arm64 libghc-half-prof arm64 0.3.1-3 [51.5 kB]
Get:208 http://deb.debian.org/debian sid/main arm64 libghc-hashable-doc all 1.4.4.0-1 [88.7 kB]
Get:209 http://deb.debian.org/debian sid/main arm64 libghc-haskell-lexer-dev arm64 1.1.1-2 [271 kB]
Get:210 http://deb.debian.org/debian sid/main arm64 libghc-haskell-lexer-prof arm64 1.1.1-2 [353 kB]
Get:211 http://deb.debian.org/debian sid/main arm64 libghc-monad-control-dev arm64 1.0.3.1-3 [43.8 kB]
Get:212 http://deb.debian.org/debian sid/main arm64 libghc-lifted-base-dev arm64 0.2.3.12-6 [53.9 kB]
Get:213 http://deb.debian.org/debian sid/main arm64 libghc-lifted-async-dev arm64 0.10.2.6-1 [74.0 kB]
Get:214 http://deb.debian.org/debian sid/main arm64 libghc-mmorph-dev arm64 1.2.0-3 [44.4 kB]
Get:215 http://deb.debian.org/debian sid/main arm64 libghc-pretty-show-dev arm64 1.10-4 [148 kB]
Get:216 http://deb.debian.org/debian sid/main arm64 libghc-primitive-dev arm64 0.8.0.0-2 [315 kB]
Get:217 http://deb.debian.org/debian sid/main arm64 libghc-unliftio-core-dev arm64 0.2.1.0-2 [26.1 kB]
Get:218 http://deb.debian.org/debian sid/main arm64 libghc-resourcet-dev arm64 1.3.0-1 [103 kB]
Get:219 http://deb.debian.org/debian sid/main arm64 libghc-safe-exceptions-dev arm64 0.1.7.4-2 [50.3 kB]
Get:220 http://deb.debian.org/debian sid/main arm64 libghc-wl-pprint-annotated-dev arm64 0.1.0.1-6 [113 kB]
Get:221 http://deb.debian.org/debian sid/main arm64 libghc-hedgehog-dev arm64 1.4-1+b1 [917 kB]
Get:222 http://deb.debian.org/debian sid/main arm64 libghc-monad-control-prof arm64 1.0.3.1-3 [47.8 kB]
Get:223 http://deb.debian.org/debian sid/main arm64 libghc-lifted-base-prof arm64 0.2.3.12-6 [60.0 kB]
Get:224 http://deb.debian.org/debian sid/main arm64 libghc-lifted-async-prof arm64 0.10.2.6-1 [90.5 kB]
Get:225 http://deb.debian.org/debian sid/main arm64 libghc-mmorph-prof arm64 1.2.0-3 [47.3 kB]
Get:226 http://deb.debian.org/debian sid/main arm64 libghc-pretty-show-prof arm64 1.10-4 [152 kB]
Get:227 http://deb.debian.org/debian sid/main arm64 libghc-primitive-prof arm64 0.8.0.0-2 [343 kB]
Get:228 http://deb.debian.org/debian sid/main arm64 libghc-unliftio-core-prof arm64 0.2.1.0-2 [25.2 kB]
Get:229 http://deb.debian.org/debian sid/main arm64 libghc-resourcet-prof arm64 1.3.0-1 [106 kB]
Get:230 http://deb.debian.org/debian sid/main arm64 libghc-safe-exceptions-prof arm64 0.1.7.4-2 [52.0 kB]
Get:231 http://deb.debian.org/debian sid/main arm64 libghc-wl-pprint-annotated-prof arm64 0.1.0.1-6 [122 kB]
Get:232 http://deb.debian.org/debian sid/main arm64 libghc-hedgehog-prof arm64 1.4-1+b1 [1040 kB]
Get:233 http://deb.debian.org/debian sid/main arm64 libghc-temporary-dev arm64 1.3-5 [26.6 kB]
Get:234 http://deb.debian.org/debian sid/main arm64 libghc-hint-dev arm64 0.9.0.8-1+b1 [439 kB]
Get:235 http://deb.debian.org/debian sid/main arm64 libghc-temporary-prof arm64 1.3-5 [26.2 kB]
Get:236 http://deb.debian.org/debian sid/main arm64 libghc-hint-prof arm64 0.9.0.8-1+b1 [442 kB]
Get:237 http://deb.debian.org/debian sid/main arm64 libghc-onetuple-dev arm64 0.4.2-1 [13.5 kB]
Get:238 http://deb.debian.org/debian sid/main arm64 libghc-vector-stream-dev arm64 0.1.0.1-1 [108 kB]
Get:239 http://deb.debian.org/debian sid/main arm64 libghc-vector-dev arm64 0.13.1.0-2 [1752 kB]
Get:240 http://deb.debian.org/debian sid/main arm64 libghc-indexed-traversable-instances-dev arm64 0.1.2-1 [28.0 kB]
Get:241 http://deb.debian.org/debian sid/main arm64 libghc-onetuple-prof arm64 0.4.2-1 [12.0 kB]
Get:242 http://deb.debian.org/debian sid/main arm64 libghc-vector-stream-prof arm64 0.1.0.1-1 [124 kB]
Get:243 http://deb.debian.org/debian sid/main arm64 libghc-vector-prof arm64 0.13.1.0-2 [1807 kB]
Get:244 http://deb.debian.org/debian sid/main arm64 libghc-indexed-traversable-instances-prof arm64 0.1.2-1 [28.2 kB]
Get:245 http://deb.debian.org/debian sid/main arm64 libghc-infinite-list-dev arm64 0.1.1-1 [70.6 kB]
Get:246 http://deb.debian.org/debian sid/main arm64 libghc-infinite-list-doc all 0.1.1-1 [98.0 kB]
Get:247 http://deb.debian.org/debian sid/main arm64 libghc-infinite-list-prof arm64 0.1.1-1 [85.8 kB]
Get:248 http://deb.debian.org/debian sid/main arm64 libghc-invariant-dev arm64 0.6.3-1 [203 kB]
Get:249 http://deb.debian.org/debian sid/main arm64 libghc-invariant-prof arm64 0.6.3-1 [237 kB]
Get:250 http://deb.debian.org/debian sid/main arm64 libghc-kan-extensions-dev arm64 5.2.6-1 [246 kB]
Get:251 http://deb.debian.org/debian sid/main arm64 libghc-kan-extensions-prof arm64 5.2.6-1 [294 kB]
Get:252 http://deb.debian.org/debian sid/main arm64 libghc-parallel-dev arm64 3.2.2.0-6 [54.7 kB]
Get:253 http://deb.debian.org/debian sid/main arm64 libghc-reflection-dev arm64 2.1.8-2 [240 kB]
Get:254 http://deb.debian.org/debian sid/main arm64 libghc-these-dev arm64 1.2.1-1 [133 kB]
Get:255 http://deb.debian.org/debian sid/main arm64 libghc-strict-dev arm64 0.5-2 [216 kB]
Get:256 http://deb.debian.org/debian sid/main arm64 libghc-lens-dev arm64 5.2.3-2+b1 [1935 kB]
Get:257 http://deb.debian.org/debian sid/main arm64 libghc-lens-doc all 5.2.3-2 [1721 kB]
Get:258 http://deb.debian.org/debian sid/main arm64 libghc-parallel-prof arm64 3.2.2.0-6 [65.5 kB]
Get:259 http://deb.debian.org/debian sid/main arm64 libghc-reflection-prof arm64 2.1.8-2 [214 kB]
Get:260 http://deb.debian.org/debian sid/main arm64 libghc-these-prof arm64 1.2.1-1 [145 kB]
Get:261 http://deb.debian.org/debian sid/main arm64 libghc-strict-prof arm64 0.5-2 [233 kB]
Get:262 http://deb.debian.org/debian sid/main arm64 libghc-lens-prof arm64 5.2.3-2+b1 [2181 kB]
Get:263 http://deb.debian.org/debian sid/main arm64 libghc-prettyprinter-dev arm64 1.7.1-3 [317 kB]
Get:264 http://deb.debian.org/debian sid/main arm64 libghc-prettyprinter-ansi-terminal-dev arm64 1.1.3-3 [73.4 kB]
Get:265 http://deb.debian.org/debian sid/main arm64 libghc-optparse-applicative-dev arm64 0.18.1.0-1 [346 kB]
Get:266 http://deb.debian.org/debian sid/main arm64 libghc-prettyprinter-prof arm64 1.7.1-3 [321 kB]
Get:267 http://deb.debian.org/debian sid/main arm64 libghc-prettyprinter-ansi-terminal-prof arm64 1.1.3-3 [69.9 kB]
Get:268 http://deb.debian.org/debian sid/main arm64 libghc-optparse-applicative-prof arm64 0.18.1.0-1 [391 kB]
Get:269 http://deb.debian.org/debian sid/main arm64 libghc-quickcheck-classes-base-dev arm64 0.6.2.0-5 [297 kB]
Get:270 http://deb.debian.org/debian sid/main arm64 libghc-quickcheck-classes-base-prof arm64 0.6.2.0-5 [340 kB]
Get:271 http://deb.debian.org/debian sid/main arm64 libghc-quickcheck2-doc all 2.14.3-2 [293 kB]
Get:272 http://deb.debian.org/debian sid/main arm64 libghc-recursion-schemes-dev arm64 5.2.3-1 [232 kB]
Get:273 http://deb.debian.org/debian sid/main arm64 libghc-recursion-schemes-doc all 5.2.3-1 [135 kB]
Get:274 http://deb.debian.org/debian sid/main arm64 libghc-recursion-schemes-prof arm64 5.2.3-1 [270 kB]
Get:275 http://deb.debian.org/debian sid/main arm64 libghc-reflection-doc all 2.1.8-2 [63.8 kB]
Get:276 http://deb.debian.org/debian sid/main arm64 libghc-safe-dev arm64 0.3.21-1 [82.0 kB]
Get:277 http://deb.debian.org/debian sid/main arm64 libghc-safe-prof arm64 0.3.21-1 [94.6 kB]
Get:278 http://deb.debian.org/debian sid/main arm64 libghc-singletons-dev arm64 3.0.3-1 [78.1 kB]
Get:279 http://deb.debian.org/debian sid/main arm64 libghc-singletons-doc all 3.0.3-1 [124 kB]
Get:280 http://deb.debian.org/debian sid/main arm64 libghc-singletons-prof arm64 3.0.3-1 [82.7 kB]
Get:281 http://deb.debian.org/debian sid/main arm64 libghc-split-dev arm64 0.2.5-1 [50.1 kB]
Get:282 http://deb.debian.org/debian sid/main arm64 libghc-split-prof arm64 0.2.5-1 [52.3 kB]
Get:283 http://deb.debian.org/debian sid/main arm64 libghc-src-exts-dev arm64 1.23.1-5 [4239 kB]
Get:284 http://deb.debian.org/debian sid/main arm64 libghc-src-exts-prof arm64 1.23.1-5 [4338 kB]
Get:285 http://deb.debian.org/debian sid/main arm64 libghc-th-compat-dev arm64 0.1.5-1 [28.1 kB]
Get:286 http://deb.debian.org/debian sid/main arm64 libghc-th-lift-dev arm64 0.8.4-2 [53.3 kB]
Get:287 http://deb.debian.org/debian sid/main arm64 libghc-th-expand-syns-dev arm64 0.4.11.0-2 [38.9 kB]
Get:288 http://deb.debian.org/debian sid/main arm64 libghc-th-reify-many-dev arm64 0.1.10-3 [34.2 kB]
Get:289 http://deb.debian.org/debian sid/main arm64 libghc-th-orphans-dev arm64 0.13.14-3 [180 kB]
Get:290 http://deb.debian.org/debian sid/main arm64 libghc-src-meta-dev arm64 0.8.14-1 [150 kB]
Get:291 http://deb.debian.org/debian sid/main arm64 libghc-th-compat-prof arm64 0.1.5-1 [27.7 kB]
Get:292 http://deb.debian.org/debian sid/main arm64 libghc-th-lift-prof arm64 0.8.4-2 [57.1 kB]
Get:293 http://deb.debian.org/debian sid/main arm64 libghc-th-expand-syns-prof arm64 0.4.11.0-2 [39.2 kB]
Get:294 http://deb.debian.org/debian sid/main arm64 libghc-th-reify-many-prof arm64 0.1.10-3 [35.3 kB]
Get:295 http://deb.debian.org/debian sid/main arm64 libghc-th-orphans-prof arm64 0.13.14-3 [209 kB]
Get:296 http://deb.debian.org/debian sid/main arm64 libghc-src-meta-prof arm64 0.8.14-1 [160 kB]
Get:297 http://deb.debian.org/debian sid/main arm64 libghc-text-conversions-dev arm64 0.3.1.1-3 [36.1 kB]
Get:298 http://deb.debian.org/debian sid/main arm64 libghc-utf8-string-dev arm64 1.0.2-3 [107 kB]
Get:299 http://deb.debian.org/debian sid/main arm64 libghc-string-interpolate-dev arm64 0.3.4.0-1 [139 kB]
Get:300 http://deb.debian.org/debian sid/main arm64 libghc-string-interpolate-doc all 0.3.4.0-1 [89.2 kB]
Get:301 http://deb.debian.org/debian sid/main arm64 libghc-text-conversions-prof arm64 0.3.1.1-3 [34.1 kB]
Get:302 http://deb.debian.org/debian sid/main arm64 libghc-utf8-string-prof arm64 1.0.2-3 [119 kB]
Get:303 http://deb.debian.org/debian sid/main arm64 libghc-string-interpolate-prof arm64 0.3.4.0-1 [144 kB]
Get:304 http://deb.debian.org/debian sid/main arm64 libghc-tasty-dev arm64 1.4.3-3 [370 kB]
Get:305 http://deb.debian.org/debian sid/main arm64 libghc-tasty-hedgehog-dev arm64 1.4.0.2-1+b1 [52.1 kB]
Get:306 http://deb.debian.org/debian sid/main arm64 libghc-tasty-prof arm64 1.4.3-3 [394 kB]
Get:307 http://deb.debian.org/debian sid/main arm64 libghc-tasty-hedgehog-prof arm64 1.4.0.2-1+b1 [49.7 kB]
Get:308 http://deb.debian.org/debian sid/main arm64 libghc-tasty-hunit-dev arm64 0.10.2-1 [43.6 kB]
Get:309 http://deb.debian.org/debian sid/main arm64 libghc-tasty-hunit-prof arm64 0.10.2-1 [42.9 kB]
Get:310 http://deb.debian.org/debian sid/main arm64 libghc-tasty-quickcheck-dev arm64 0.10.2-3 [45.6 kB]
Get:311 http://deb.debian.org/debian sid/main arm64 libghc-tasty-quickcheck-prof arm64 0.10.2-3 [41.6 kB]
Get:312 http://deb.debian.org/debian sid/main arm64 libghc-tasty-th-dev arm64 0.1.7-7 [27.5 kB]
Get:313 http://deb.debian.org/debian sid/main arm64 libghc-tasty-th-prof arm64 0.1.7-7 [26.7 kB]
Get:314 http://deb.debian.org/debian sid/main arm64 libghc-th-lift-doc all 0.8.4-2 [51.9 kB]
Get:315 http://deb.debian.org/debian sid/main arm64 libghc-th-orphans-doc all 0.13.14-3 [53.7 kB]
Get:316 http://deb.debian.org/debian sid/main arm64 libghc-type-errors-dev arm64 0.2.0.2-2 [30.2 kB]
Get:317 http://deb.debian.org/debian sid/main arm64 libghc-type-errors-doc all 0.2.0.2-2 [60.0 kB]
Get:318 http://deb.debian.org/debian sid/main arm64 libghc-type-errors-prof arm64 0.2.0.2-2 [27.9 kB]
Get:319 http://deb.debian.org/debian sid/main arm64 libghc-uniplate-dev arm64 1.6.13-3 [346 kB]
Get:320 http://deb.debian.org/debian sid/main arm64 libghc-uniplate-doc all 1.6.13-3 [149 kB]
Get:321 http://deb.debian.org/debian sid/main arm64 libghc-uniplate-prof arm64 1.6.13-3 [390 kB]
Get:322 http://deb.debian.org/debian sid/main arm64 libghc-vector-doc all 0.13.1.0-2 [553 kB]
Get:323 http://deb.debian.org/debian sid/main arm64 libghc-th-abstraction-doc all 0.5.0.0-1 [128 kB]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 309 MB in 4s (74.4 MB/s)
Selecting previously unselected package libisl23:arm64.
(Reading database ... 17119 files and directories currently installed.)
Preparing to unpack .../00-libisl23_0.27-1_arm64.deb ...
Unpacking libisl23:arm64 (0.27-1) ...
Selecting previously unselected package libmpfr6:arm64.
Preparing to unpack .../01-libmpfr6_4.2.1-1+b2_arm64.deb ...
Unpacking libmpfr6:arm64 (4.2.1-1+b2) ...
Selecting previously unselected package libmpc3:arm64.
Preparing to unpack .../02-libmpc3_1.3.1-1+b3_arm64.deb ...
Unpacking libmpc3:arm64 (1.3.1-1+b3) ...
Selecting previously unselected package cpp-14-aarch64-linux-gnu.
Preparing to unpack .../03-cpp-14-aarch64-linux-gnu_14.2.0-8_arm64.deb ...
Unpacking cpp-14-aarch64-linux-gnu (14.2.0-8) ...
Selecting previously unselected package cpp-14.
Preparing to unpack .../04-cpp-14_14.2.0-8_arm64.deb ...
Unpacking cpp-14 (14.2.0-8) ...
Selecting previously unselected package cpp-aarch64-linux-gnu.
Preparing to unpack .../05-cpp-aarch64-linux-gnu_4%3a14.2.0-1_arm64.deb ...
Unpacking cpp-aarch64-linux-gnu (4:14.2.0-1) ...
Selecting previously unselected package cpp.
Preparing to unpack .../06-cpp_4%3a14.2.0-1_arm64.deb ...
Unpacking cpp (4:14.2.0-1) ...
Selecting previously unselected package libcc1-0:arm64.
Preparing to unpack .../07-libcc1-0_14.2.0-8_arm64.deb ...
Unpacking libcc1-0:arm64 (14.2.0-8) ...
Selecting previously unselected package libitm1:arm64.
Preparing to unpack .../08-libitm1_14.2.0-8_arm64.deb ...
Unpacking libitm1:arm64 (14.2.0-8) ...
Selecting previously unselected package libasan8:arm64.
Preparing to unpack .../09-libasan8_14.2.0-8_arm64.deb ...
Unpacking libasan8:arm64 (14.2.0-8) ...
Selecting previously unselected package liblsan0:arm64.
Preparing to unpack .../10-liblsan0_14.2.0-8_arm64.deb ...
Unpacking liblsan0:arm64 (14.2.0-8) ...
Selecting previously unselected package libtsan2:arm64.
Preparing to unpack .../11-libtsan2_14.2.0-8_arm64.deb ...
Unpacking libtsan2:arm64 (14.2.0-8) ...
Selecting previously unselected package libubsan1:arm64.
Preparing to unpack .../12-libubsan1_14.2.0-8_arm64.deb ...
Unpacking libubsan1:arm64 (14.2.0-8) ...
Selecting previously unselected package libhwasan0:arm64.
Preparing to unpack .../13-libhwasan0_14.2.0-8_arm64.deb ...
Unpacking libhwasan0:arm64 (14.2.0-8) ...
Selecting previously unselected package libgcc-14-dev:arm64.
Preparing to unpack .../14-libgcc-14-dev_14.2.0-8_arm64.deb ...
Unpacking libgcc-14-dev:arm64 (14.2.0-8) ...
Selecting previously unselected package gcc-14-aarch64-linux-gnu.
Preparing to unpack .../15-gcc-14-aarch64-linux-gnu_14.2.0-8_arm64.deb ...
Unpacking gcc-14-aarch64-linux-gnu (14.2.0-8) ...
Selecting previously unselected package gcc-14.
Preparing to unpack .../16-gcc-14_14.2.0-8_arm64.deb ...
Unpacking gcc-14 (14.2.0-8) ...
Selecting previously unselected package gcc-aarch64-linux-gnu.
Preparing to unpack .../17-gcc-aarch64-linux-gnu_4%3a14.2.0-1_arm64.deb ...
Unpacking gcc-aarch64-linux-gnu (4:14.2.0-1) ...
Selecting previously unselected package gcc.
Preparing to unpack .../18-gcc_4%3a14.2.0-1_arm64.deb ...
Unpacking gcc (4:14.2.0-1) ...
Selecting previously unselected package libgmpxx4ldbl:arm64.
Preparing to unpack .../19-libgmpxx4ldbl_2%3a6.3.0+dfsg-2+b2_arm64.deb ...
Unpacking libgmpxx4ldbl:arm64 (2:6.3.0+dfsg-2+b2) ...
Selecting previously unselected package libgmp-dev:arm64.
Preparing to unpack .../20-libgmp-dev_2%3a6.3.0+dfsg-2+b2_arm64.deb ...
Unpacking libgmp-dev:arm64 (2:6.3.0+dfsg-2+b2) ...
Selecting previously unselected package libffi-dev:arm64.
Preparing to unpack .../21-libffi-dev_3.4.6-1_arm64.deb ...
Unpacking libffi-dev:arm64 (3.4.6-1) ...
Selecting previously unselected package libmd-dev:arm64.
Preparing to unpack .../22-libmd-dev_1.1.0-2+b1_arm64.deb ...
Unpacking libmd-dev:arm64 (1.1.0-2+b1) ...
Selecting previously unselected package libbsd-dev:arm64.
Preparing to unpack .../23-libbsd-dev_0.12.2-2_arm64.deb ...
Unpacking libbsd-dev:arm64 (0.12.2-2) ...
Selecting previously unselected package libc-dev-bin.
Preparing to unpack .../24-libc-dev-bin_2.40-3_arm64.deb ...
Unpacking libc-dev-bin (2.40-3) ...
Selecting previously unselected package linux-libc-dev.
Preparing to unpack .../25-linux-libc-dev_6.11.7-1_all.deb ...
Unpacking linux-libc-dev (6.11.7-1) ...
Selecting previously unselected package libcrypt-dev:arm64.
Preparing to unpack .../26-libcrypt-dev_1%3a4.4.36-5_arm64.deb ...
Unpacking libcrypt-dev:arm64 (1:4.4.36-5) ...
Selecting previously unselected package rpcsvc-proto.
Preparing to unpack .../27-rpcsvc-proto_1.4.3-1+b1_arm64.deb ...
Unpacking rpcsvc-proto (1.4.3-1+b1) ...
Selecting previously unselected package libc6-dev:arm64.
Preparing to unpack .../28-libc6-dev_2.40-3_arm64.deb ...
Unpacking libc6-dev:arm64 (2.40-3) ...
Selecting previously unselected package libncurses6:arm64.
Preparing to unpack .../29-libncurses6_6.5-2+b1_arm64.deb ...
Unpacking libncurses6:arm64 (6.5-2+b1) ...
Selecting previously unselected package libncursesw6:arm64.
Preparing to unpack .../30-libncursesw6_6.5-2+b1_arm64.deb ...
Unpacking libncursesw6:arm64 (6.5-2+b1) ...
Selecting previously unselected package libncurses-dev:arm64.
Preparing to unpack .../31-libncurses-dev_6.5-2+b1_arm64.deb ...
Unpacking libncurses-dev:arm64 (6.5-2+b1) ...
Selecting previously unselected package libnuma1:arm64.
Preparing to unpack .../32-libnuma1_2.0.18-1+b1_arm64.deb ...
Unpacking libnuma1:arm64 (2.0.18-1+b1) ...
Selecting previously unselected package libnuma-dev:arm64.
Preparing to unpack .../33-libnuma-dev_2.0.18-1+b1_arm64.deb ...
Unpacking libnuma-dev:arm64 (2.0.18-1+b1) ...
Selecting previously unselected package ghc.
Preparing to unpack .../34-ghc_9.6.6-3_arm64.deb ...
Unpacking ghc (9.6.6-3) ...
Selecting previously unselected package fonts-mathjax.
Preparing to unpack .../35-fonts-mathjax_2.7.9+dfsg-1_all.deb ...
Unpacking fonts-mathjax (2.7.9+dfsg-1) ...
Selecting previously unselected package libjs-mathjax.
Preparing to unpack .../36-libjs-mathjax_2.7.9+dfsg-1_all.deb ...
Unpacking libjs-mathjax (2.7.9+dfsg-1) ...
Selecting previously unselected package ghc-doc.
Preparing to unpack .../37-ghc-doc_9.6.6-3_all.deb ...
Unpacking ghc-doc (9.6.6-3) ...
Selecting previously unselected package libpython3.12-minimal:arm64.
Preparing to unpack .../38-libpython3.12-minimal_3.12.7-3_arm64.deb ...
Unpacking libpython3.12-minimal:arm64 (3.12.7-3) ...
Selecting previously unselected package libexpat1:arm64.
Preparing to unpack .../39-libexpat1_2.6.4-1_arm64.deb ...
Unpacking libexpat1:arm64 (2.6.4-1) ...
Selecting previously unselected package python3.12-minimal.
Preparing to unpack .../40-python3.12-minimal_3.12.7-3_arm64.deb ...
Unpacking python3.12-minimal (3.12.7-3) ...
Setting up libpython3.12-minimal:arm64 (3.12.7-3) ...
Setting up libexpat1:arm64 (2.6.4-1) ...
Setting up python3.12-minimal (3.12.7-3) ...
Selecting previously unselected package python3-minimal.
(Reading database ... 35226 files and directories currently installed.)
Preparing to unpack .../0-python3-minimal_3.12.7-1_arm64.deb ...
Unpacking python3-minimal (3.12.7-1) ...
Selecting previously unselected package media-types.
Preparing to unpack .../1-media-types_10.1.0_all.deb ...
Unpacking media-types (10.1.0) ...
Selecting previously unselected package libtirpc-common.
Preparing to unpack .../2-libtirpc-common_1.3.4+ds-1.3_all.deb ...
Unpacking libtirpc-common (1.3.4+ds-1.3) ...
Selecting previously unselected package libtirpc3t64:arm64.
Preparing to unpack .../3-libtirpc3t64_1.3.4+ds-1.3+b1_arm64.deb ...
Adding 'diversion of /lib/aarch64-linux-gnu/libtirpc.so.3 to /lib/aarch64-linux-gnu/libtirpc.so.3.usr-is-merged by libtirpc3t64'
Adding 'diversion of /lib/aarch64-linux-gnu/libtirpc.so.3.0.0 to /lib/aarch64-linux-gnu/libtirpc.so.3.0.0.usr-is-merged by libtirpc3t64'
Unpacking libtirpc3t64:arm64 (1.3.4+ds-1.3+b1) ...
Selecting previously unselected package libnsl2:arm64.
Preparing to unpack .../4-libnsl2_1.3.0-3+b3_arm64.deb ...
Unpacking libnsl2:arm64 (1.3.0-3+b3) ...
Selecting previously unselected package libpython3.12-stdlib:arm64.
Preparing to unpack .../5-libpython3.12-stdlib_3.12.7-3_arm64.deb ...
Unpacking libpython3.12-stdlib:arm64 (3.12.7-3) ...
Selecting previously unselected package python3.12.
Preparing to unpack .../6-python3.12_3.12.7-3_arm64.deb ...
Unpacking python3.12 (3.12.7-3) ...
Selecting previously unselected package libpython3-stdlib:arm64.
Preparing to unpack .../7-libpython3-stdlib_3.12.7-1_arm64.deb ...
Unpacking libpython3-stdlib:arm64 (3.12.7-1) ...
Setting up python3-minimal (3.12.7-1) ...
Selecting previously unselected package python3.
(Reading database ... 35690 files and directories currently installed.)
Preparing to unpack .../000-python3_3.12.7-1_arm64.deb ...
Unpacking python3 (3.12.7-1) ...
Selecting previously unselected package m4.
Preparing to unpack .../001-m4_1.4.19-4_arm64.deb ...
Unpacking m4 (1.4.19-4) ...
Selecting previously unselected package autoconf.
Preparing to unpack .../002-autoconf_2.72-3_all.deb ...
Unpacking autoconf (2.72-3) ...
Selecting previously unselected package autotools-dev.
Preparing to unpack .../003-autotools-dev_20220109.1_all.deb ...
Unpacking autotools-dev (20220109.1) ...
Selecting previously unselected package automake.
Preparing to unpack .../004-automake_1%3a1.16.5-1.3_all.deb ...
Unpacking automake (1:1.16.5-1.3) ...
Selecting previously unselected package autopoint.
Preparing to unpack .../005-autopoint_0.22.5-2_all.deb ...
Unpacking autopoint (0.22.5-2) ...
Selecting previously unselected package libstdc++-14-dev:arm64.
Preparing to unpack .../006-libstdc++-14-dev_14.2.0-8_arm64.deb ...
Unpacking libstdc++-14-dev:arm64 (14.2.0-8) ...
Selecting previously unselected package g++-14-aarch64-linux-gnu.
Preparing to unpack .../007-g++-14-aarch64-linux-gnu_14.2.0-8_arm64.deb ...
Unpacking g++-14-aarch64-linux-gnu (14.2.0-8) ...
Selecting previously unselected package g++-14.
Preparing to unpack .../008-g++-14_14.2.0-8_arm64.deb ...
Unpacking g++-14 (14.2.0-8) ...
Selecting previously unselected package g++-aarch64-linux-gnu.
Preparing to unpack .../009-g++-aarch64-linux-gnu_4%3a14.2.0-1_arm64.deb ...
Unpacking g++-aarch64-linux-gnu (4:14.2.0-1) ...
Selecting previously unselected package g++.
Preparing to unpack .../010-g++_4%3a14.2.0-1_arm64.deb ...
Unpacking g++ (4:14.2.0-1) ...
Selecting previously unselected package build-essential.
Preparing to unpack .../011-build-essential_12.12_arm64.deb ...
Unpacking build-essential (12.12) ...
Selecting previously unselected package python3-scour.
Preparing to unpack .../012-python3-scour_0.38.2-5_all.deb ...
Unpacking python3-scour (0.38.2-5) ...
Selecting previously unselected package scour.
Preparing to unpack .../013-scour_0.38.2-5_all.deb ...
Unpacking scour (0.38.2-5) ...
Selecting previously unselected package cdbs.
Preparing to unpack .../014-cdbs_0.4.166_all.deb ...
Unpacking cdbs (0.4.166) ...
Selecting previously unselected package dctrl-tools.
Preparing to unpack .../015-dctrl-tools_2.24-3+b1_arm64.deb ...
Unpacking dctrl-tools (2.24-3+b1) ...
Selecting previously unselected package libdebhelper-perl.
Preparing to unpack .../016-libdebhelper-perl_13.20_all.deb ...
Unpacking libdebhelper-perl (13.20) ...
Selecting previously unselected package libtool.
Preparing to unpack .../017-libtool_2.4.7-8_all.deb ...
Unpacking libtool (2.4.7-8) ...
Selecting previously unselected package dh-autoreconf.
Preparing to unpack .../018-dh-autoreconf_20_all.deb ...
Unpacking dh-autoreconf (20) ...
Selecting previously unselected package libfile-stripnondeterminism-perl.
Preparing to unpack .../019-libfile-stripnondeterminism-perl_1.14.0-1_all.deb ...
Unpacking libfile-stripnondeterminism-perl (1.14.0-1) ...
Selecting previously unselected package dh-strip-nondeterminism.
Preparing to unpack .../020-dh-strip-nondeterminism_1.14.0-1_all.deb ...
Unpacking dh-strip-nondeterminism (1.14.0-1) ...
Selecting previously unselected package libelf1t64:arm64.
Preparing to unpack .../021-libelf1t64_0.192-4_arm64.deb ...
Unpacking libelf1t64:arm64 (0.192-4) ...
Selecting previously unselected package dwz.
Preparing to unpack .../022-dwz_0.15-1+b1_arm64.deb ...
Unpacking dwz (0.15-1+b1) ...
Selecting previously unselected package po-debconf.
Preparing to unpack .../023-po-debconf_1.0.21+nmu1_all.deb ...
Unpacking po-debconf (1.0.21+nmu1) ...
Selecting previously unselected package debhelper.
Preparing to unpack .../024-debhelper_13.20_all.deb ...
Unpacking debhelper (13.20) ...
Selecting previously unselected package dh-buildinfo.
Preparing to unpack .../025-dh-buildinfo_0.11+nmu3_all.deb ...
Unpacking dh-buildinfo (0.11+nmu3) ...
Selecting previously unselected package libfakeroot:arm64.
Preparing to unpack .../026-libfakeroot_1.36-1_arm64.deb ...
Unpacking libfakeroot:arm64 (1.36-1) ...
Selecting previously unselected package fakeroot.
Preparing to unpack .../027-fakeroot_1.36-1_arm64.deb ...
Unpacking fakeroot (1.36-1) ...
Selecting previously unselected package ghc-prof.
Preparing to unpack .../028-ghc-prof_9.6.6-3_arm64.deb ...
Unpacking ghc-prof (9.6.6-3) ...
Selecting previously unselected package html-xml-utils.
Preparing to unpack .../029-html-xml-utils_7.7-1.1+b2_arm64.deb ...
Unpacking html-xml-utils (7.7-1.1+b2) ...
Selecting previously unselected package libdevel-confess-perl.
Preparing to unpack .../030-libdevel-confess-perl_0.009004-4_all.deb ...
Unpacking libdevel-confess-perl (0.009004-4) ...
Selecting previously unselected package haskell-devscripts-minimal.
Preparing to unpack .../031-haskell-devscripts-minimal_0.16.33_all.deb ...
Unpacking haskell-devscripts-minimal (0.16.33) ...
Selecting previously unselected package libghc-base-orphans-dev.
Preparing to unpack .../032-libghc-base-orphans-dev_0.9.2-1_arm64.deb ...
Unpacking libghc-base-orphans-dev (0.9.2-1) ...
Selecting previously unselected package libghc-tagged-dev.
Preparing to unpack .../033-libghc-tagged-dev_0.8.8-1_arm64.deb ...
Unpacking libghc-tagged-dev (0.8.8-1) ...
Selecting previously unselected package libghc-distributive-dev.
Preparing to unpack .../034-libghc-distributive-dev_0.6.2.1-3_arm64.deb ...
Unpacking libghc-distributive-dev (0.6.2.1-3) ...
Selecting previously unselected package libghc-indexed-traversable-dev.
Preparing to unpack .../035-libghc-indexed-traversable-dev_0.1.4-1_arm64.deb ...
Unpacking libghc-indexed-traversable-dev (0.1.4-1) ...
Selecting previously unselected package libghc-transformers-compat-dev.
Preparing to unpack .../036-libghc-transformers-compat-dev_0.7.2-2_arm64.deb ...
Unpacking libghc-transformers-compat-dev (0.7.2-2) ...
Selecting previously unselected package libghc-comonad-dev.
Preparing to unpack .../037-libghc-comonad-dev_5.0.8-3_arm64.deb ...
Unpacking libghc-comonad-dev (5.0.8-3) ...
Selecting previously unselected package libghc-statevar-dev.
Preparing to unpack .../038-libghc-statevar-dev_1.2.2-3_arm64.deb ...
Unpacking libghc-statevar-dev (1.2.2-3) ...
Selecting previously unselected package libghc-contravariant-dev.
Preparing to unpack .../039-libghc-contravariant-dev_1.5.5-3_arm64.deb ...
Unpacking libghc-contravariant-dev (1.5.5-3) ...
Selecting previously unselected package libghc-assoc-dev.
Preparing to unpack .../040-libghc-assoc-dev_1.1.1-1_arm64.deb ...
Unpacking libghc-assoc-dev (1.1.1-1) ...
Selecting previously unselected package libghc-th-abstraction-dev.
Preparing to unpack .../041-libghc-th-abstraction-dev_0.5.0.0-1_arm64.deb ...
Unpacking libghc-th-abstraction-dev (0.5.0.0-1) ...
Selecting previously unselected package libghc-bifunctors-dev.
Preparing to unpack .../042-libghc-bifunctors-dev_5.6.2-1_arm64.deb ...
Unpacking libghc-bifunctors-dev (5.6.2-1) ...
Selecting previously unselected package libghc-profunctors-dev.
Preparing to unpack .../043-libghc-profunctors-dev_5.6.2-3_arm64.deb ...
Unpacking libghc-profunctors-dev (5.6.2-3) ...
Selecting previously unselected package libghc-os-string-dev.
Preparing to unpack .../044-libghc-os-string-dev_2.0.6-2_arm64.deb ...
Unpacking libghc-os-string-dev (2.0.6-2) ...
Selecting previously unselected package libghc-hashable-dev.
Preparing to unpack .../045-libghc-hashable-dev_1.4.4.0-1_arm64.deb ...
Unpacking libghc-hashable-dev (1.4.4.0-1) ...
Selecting previously unselected package libghc-unordered-containers-dev.
Preparing to unpack .../046-libghc-unordered-containers-dev_0.2.20-3_arm64.deb ...
Unpacking libghc-unordered-containers-dev (0.2.20-3) ...
Selecting previously unselected package libghc-semigroupoids-dev.
Preparing to unpack .../047-libghc-semigroupoids-dev_6.0.1-1_arm64.deb ...
Unpacking libghc-semigroupoids-dev (6.0.1-1) ...
Selecting previously unselected package libghc-transformers-base-dev.
Preparing to unpack .../048-libghc-transformers-base-dev_0.4.6-3_arm64.deb ...
Unpacking libghc-transformers-base-dev (0.4.6-3) ...
Selecting previously unselected package libghc-free-dev.
Preparing to unpack .../049-libghc-free-dev_5.2-1_arm64.deb ...
Unpacking libghc-free-dev (5.2-1) ...
Selecting previously unselected package libghc-semigroups-dev.
Preparing to unpack .../050-libghc-semigroups-dev_0.20-3_arm64.deb ...
Unpacking libghc-semigroups-dev (0.20-3) ...
Selecting previously unselected package libghc-void-dev.
Preparing to unpack .../051-libghc-void-dev_0.7.3-5_arm64.deb ...
Unpacking libghc-void-dev (0.7.3-5) ...
Selecting previously unselected package libghc-adjunctions-dev.
Preparing to unpack .../052-libghc-adjunctions-dev_4.4.2-3_arm64.deb ...
Unpacking libghc-adjunctions-dev (4.4.2-3) ...
Selecting previously unselected package libghc-base-orphans-prof.
Preparing to unpack .../053-libghc-base-orphans-prof_0.9.2-1_arm64.deb ...
Unpacking libghc-base-orphans-prof (0.9.2-1) ...
Selecting previously unselected package libghc-tagged-prof.
Preparing to unpack .../054-libghc-tagged-prof_0.8.8-1_arm64.deb ...
Unpacking libghc-tagged-prof (0.8.8-1) ...
Selecting previously unselected package libghc-distributive-prof.
Preparing to unpack .../055-libghc-distributive-prof_0.6.2.1-3_arm64.deb ...
Unpacking libghc-distributive-prof (0.6.2.1-3) ...
Selecting previously unselected package libghc-indexed-traversable-prof.
Preparing to unpack .../056-libghc-indexed-traversable-prof_0.1.4-1_arm64.deb ...
Unpacking libghc-indexed-traversable-prof (0.1.4-1) ...
Selecting previously unselected package libghc-transformers-compat-prof.
Preparing to unpack .../057-libghc-transformers-compat-prof_0.7.2-2_arm64.deb ...
Unpacking libghc-transformers-compat-prof (0.7.2-2) ...
Selecting previously unselected package libghc-comonad-prof.
Preparing to unpack .../058-libghc-comonad-prof_5.0.8-3_arm64.deb ...
Unpacking libghc-comonad-prof (5.0.8-3) ...
Selecting previously unselected package libghc-statevar-prof.
Preparing to unpack .../059-libghc-statevar-prof_1.2.2-3_arm64.deb ...
Unpacking libghc-statevar-prof (1.2.2-3) ...
Selecting previously unselected package libghc-contravariant-prof.
Preparing to unpack .../060-libghc-contravariant-prof_1.5.5-3_arm64.deb ...
Unpacking libghc-contravariant-prof (1.5.5-3) ...
Selecting previously unselected package libghc-assoc-prof.
Preparing to unpack .../061-libghc-assoc-prof_1.1.1-1_arm64.deb ...
Unpacking libghc-assoc-prof (1.1.1-1) ...
Selecting previously unselected package libghc-th-abstraction-prof.
Preparing to unpack .../062-libghc-th-abstraction-prof_0.5.0.0-1_arm64.deb ...
Unpacking libghc-th-abstraction-prof (0.5.0.0-1) ...
Selecting previously unselected package libghc-bifunctors-prof.
Preparing to unpack .../063-libghc-bifunctors-prof_5.6.2-1_arm64.deb ...
Unpacking libghc-bifunctors-prof (5.6.2-1) ...
Selecting previously unselected package libghc-profunctors-prof.
Preparing to unpack .../064-libghc-profunctors-prof_5.6.2-3_arm64.deb ...
Unpacking libghc-profunctors-prof (5.6.2-3) ...
Selecting previously unselected package libghc-os-string-prof.
Preparing to unpack .../065-libghc-os-string-prof_2.0.6-2_arm64.deb ...
Unpacking libghc-os-string-prof (2.0.6-2) ...
Selecting previously unselected package libghc-hashable-prof.
Preparing to unpack .../066-libghc-hashable-prof_1.4.4.0-1_arm64.deb ...
Unpacking libghc-hashable-prof (1.4.4.0-1) ...
Selecting previously unselected package libghc-unordered-containers-prof.
Preparing to unpack .../067-libghc-unordered-containers-prof_0.2.20-3_arm64.deb ...
Unpacking libghc-unordered-containers-prof (0.2.20-3) ...
Selecting previously unselected package libghc-semigroupoids-prof.
Preparing to unpack .../068-libghc-semigroupoids-prof_6.0.1-1_arm64.deb ...
Unpacking libghc-semigroupoids-prof (6.0.1-1) ...
Selecting previously unselected package libghc-transformers-base-prof.
Preparing to unpack .../069-libghc-transformers-base-prof_0.4.6-3_arm64.deb ...
Unpacking libghc-transformers-base-prof (0.4.6-3) ...
Selecting previously unselected package libghc-free-prof.
Preparing to unpack .../070-libghc-free-prof_5.2-1_arm64.deb ...
Unpacking libghc-free-prof (5.2-1) ...
Selecting previously unselected package libghc-semigroups-prof.
Preparing to unpack .../071-libghc-semigroups-prof_0.20-3_arm64.deb ...
Unpacking libghc-semigroups-prof (0.20-3) ...
Selecting previously unselected package libghc-void-prof.
Preparing to unpack .../072-libghc-void-prof_0.7.3-5_arm64.deb ...
Unpacking libghc-void-prof (0.7.3-5) ...
Selecting previously unselected package libghc-adjunctions-prof.
Preparing to unpack .../073-libghc-adjunctions-prof_4.4.2-3_arm64.deb ...
Unpacking libghc-adjunctions-prof (4.4.2-3) ...
Selecting previously unselected package libghc-colour-dev.
Preparing to unpack .../074-libghc-colour-dev_2.3.6-3_arm64.deb ...
Unpacking libghc-colour-dev (2.3.6-3) ...
Selecting previously unselected package libghc-ansi-terminal-types-dev.
Preparing to unpack .../075-libghc-ansi-terminal-types-dev_0.11.5-3_arm64.deb ...
Unpacking libghc-ansi-terminal-types-dev (0.11.5-3) ...
Selecting previously unselected package libghc-ansi-terminal-dev.
Preparing to unpack .../076-libghc-ansi-terminal-dev_1.0.2-1_arm64.deb ...
Unpacking libghc-ansi-terminal-dev (1.0.2-1) ...
Selecting previously unselected package libghc-colour-prof.
Preparing to unpack .../077-libghc-colour-prof_2.3.6-3_arm64.deb ...
Unpacking libghc-colour-prof (2.3.6-3) ...
Selecting previously unselected package libghc-ansi-terminal-types-prof.
Preparing to unpack .../078-libghc-ansi-terminal-types-prof_0.11.5-3_arm64.deb ...
Unpacking libghc-ansi-terminal-types-prof (0.11.5-3) ...
Selecting previously unselected package libghc-ansi-terminal-prof.
Preparing to unpack .../079-libghc-ansi-terminal-prof_1.0.2-1_arm64.deb ...
Unpacking libghc-ansi-terminal-prof (1.0.2-1) ...
Selecting previously unselected package libghc-lazysmallcheck-dev.
Preparing to unpack .../080-libghc-lazysmallcheck-dev_0.6-15_arm64.deb ...
Unpacking libghc-lazysmallcheck-dev (0.6-15) ...
Selecting previously unselected package libghc-splitmix-dev.
Preparing to unpack .../081-libghc-splitmix-dev_0.1.0.5-2_arm64.deb ...
Unpacking libghc-splitmix-dev (0.1.0.5-2) ...
Selecting previously unselected package libghc-random-dev.
Preparing to unpack .../082-libghc-random-dev_1.2.1.2-1_arm64.deb ...
Unpacking libghc-random-dev (1.2.1.2-1) ...
Selecting previously unselected package libghc-quickcheck2-dev.
Preparing to unpack .../083-libghc-quickcheck2-dev_2.14.3-2_arm64.deb ...
Unpacking libghc-quickcheck2-dev (2.14.3-2) ...
Selecting previously unselected package libghc-stream-dev.
Preparing to unpack .../084-libghc-stream-dev_0.4.7.2-10_arm64.deb ...
Unpacking libghc-stream-dev (0.4.7.2-10) ...
Selecting previously unselected package libghc-arrows-dev.
Preparing to unpack .../085-libghc-arrows-dev_0.4.4.2-6_arm64.deb ...
Unpacking libghc-arrows-dev (0.4.4.2-6) ...
Selecting previously unselected package libghc-arrows-doc.
Preparing to unpack .../086-libghc-arrows-doc_0.4.4.2-6_all.deb ...
Unpacking libghc-arrows-doc (0.4.4.2-6) ...
Selecting previously unselected package libghc-lazysmallcheck-prof.
Preparing to unpack .../087-libghc-lazysmallcheck-prof_0.6-15_arm64.deb ...
Unpacking libghc-lazysmallcheck-prof (0.6-15) ...
Selecting previously unselected package libghc-splitmix-prof.
Preparing to unpack .../088-libghc-splitmix-prof_0.1.0.5-2_arm64.deb ...
Unpacking libghc-splitmix-prof (0.1.0.5-2) ...
Selecting previously unselected package libghc-random-prof.
Preparing to unpack .../089-libghc-random-prof_1.2.1.2-1_arm64.deb ...
Unpacking libghc-random-prof (1.2.1.2-1) ...
Selecting previously unselected package libghc-quickcheck2-prof.
Preparing to unpack .../090-libghc-quickcheck2-prof_2.14.3-2_arm64.deb ...
Unpacking libghc-quickcheck2-prof (2.14.3-2) ...
Selecting previously unselected package libghc-stream-prof.
Preparing to unpack .../091-libghc-stream-prof_0.4.7.2-10_arm64.deb ...
Unpacking libghc-stream-prof (0.4.7.2-10) ...
Selecting previously unselected package libghc-arrows-prof.
Preparing to unpack .../092-libghc-arrows-prof_0.4.4.2-6_arm64.deb ...
Unpacking libghc-arrows-prof (0.4.4.2-6) ...
Selecting previously unselected package libghc-async-dev.
Preparing to unpack .../093-libghc-async-dev_2.2.5-1_arm64.deb ...
Unpacking libghc-async-dev (2.2.5-1) ...
Selecting previously unselected package libghc-async-prof.
Preparing to unpack .../094-libghc-async-prof_2.2.5-1_arm64.deb ...
Unpacking libghc-async-prof (2.2.5-1) ...
Selecting previously unselected package libghc-barbies-dev.
Preparing to unpack .../095-libghc-barbies-dev_2.0.5.0-1_arm64.deb ...
Unpacking libghc-barbies-dev (2.0.5.0-1) ...
Selecting previously unselected package libghc-barbies-prof.
Preparing to unpack .../096-libghc-barbies-prof_2.0.5.0-1_arm64.deb ...
Unpacking libghc-barbies-prof (2.0.5.0-1) ...
Selecting previously unselected package libghc-base-compat-dev.
Preparing to unpack .../097-libghc-base-compat-dev_0.13.1-1_arm64.deb ...
Unpacking libghc-base-compat-dev (0.13.1-1) ...
Selecting previously unselected package libghc-base-compat-prof.
Preparing to unpack .../098-libghc-base-compat-prof_0.13.1-1_arm64.deb ...
Unpacking libghc-base-compat-prof (0.13.1-1) ...
Selecting previously unselected package libghc-base16-bytestring-dev.
Preparing to unpack .../099-libghc-base16-bytestring-dev_1.0.2.0-3_arm64.deb ...
Unpacking libghc-base16-bytestring-dev (1.0.2.0-3) ...
Selecting previously unselected package libghc-base16-bytestring-prof.
Preparing to unpack .../100-libghc-base16-bytestring-prof_1.0.2.0-3_arm64.deb ...
Unpacking libghc-base16-bytestring-prof (1.0.2.0-3) ...
Selecting previously unselected package libghc-base64-bytestring-dev.
Preparing to unpack .../101-libghc-base64-bytestring-dev_1.2.1.0-3_arm64.deb ...
Unpacking libghc-base64-bytestring-dev (1.2.1.0-3) ...
Selecting previously unselected package libghc-base64-bytestring-prof.
Preparing to unpack .../102-libghc-base64-bytestring-prof_1.2.1.0-3_arm64.deb ...
Unpacking libghc-base64-bytestring-prof (1.2.1.0-3) ...
Selecting previously unselected package libghc-boring-dev.
Preparing to unpack .../103-libghc-boring-dev_0.2.2-1_arm64.deb ...
Unpacking libghc-boring-dev (0.2.2-1) ...
Selecting previously unselected package libghc-boring-prof.
Preparing to unpack .../104-libghc-boring-prof_0.2.2-1_arm64.deb ...
Unpacking libghc-boring-prof (0.2.2-1) ...
Selecting previously unselected package libghc-call-stack-dev.
Preparing to unpack .../105-libghc-call-stack-dev_0.4.0-3_arm64.deb ...
Unpacking libghc-call-stack-dev (0.4.0-3) ...
Selecting previously unselected package libghc-call-stack-prof.
Preparing to unpack .../106-libghc-call-stack-prof_0.4.0-3_arm64.deb ...
Unpacking libghc-call-stack-prof (0.4.0-3) ...
Selecting previously unselected package libghc-clock-dev.
Preparing to unpack .../107-libghc-clock-dev_0.8.4-2_arm64.deb ...
Unpacking libghc-clock-dev (0.8.4-2) ...
Selecting previously unselected package libghc-clock-prof.
Preparing to unpack .../108-libghc-clock-prof_0.8.4-2_arm64.deb ...
Unpacking libghc-clock-prof (0.8.4-2) ...
Selecting previously unselected package libghc-code-page-dev.
Preparing to unpack .../109-libghc-code-page-dev_0.2.1-3_arm64.deb ...
Unpacking libghc-code-page-dev (0.2.1-3) ...
Selecting previously unselected package libghc-code-page-prof.
Preparing to unpack .../110-libghc-code-page-prof_0.2.1-3_arm64.deb ...
Unpacking libghc-code-page-prof (0.2.1-3) ...
Selecting previously unselected package libghc-terminal-size-dev.
Preparing to unpack .../111-libghc-terminal-size-dev_0.3.4-2_arm64.deb ...
Unpacking libghc-terminal-size-dev (0.3.4-2) ...
Selecting previously unselected package libghc-concurrent-output-dev.
Preparing to unpack .../112-libghc-concurrent-output-dev_1.10.21-1_arm64.deb ...
Unpacking libghc-concurrent-output-dev (1.10.21-1) ...
Selecting previously unselected package libghc-terminal-size-prof.
Preparing to unpack .../113-libghc-terminal-size-prof_0.3.4-2_arm64.deb ...
Unpacking libghc-terminal-size-prof (0.3.4-2) ...
Selecting previously unselected package libghc-concurrent-output-prof.
Preparing to unpack .../114-libghc-concurrent-output-prof_1.10.21-1_arm64.deb ...
Unpacking libghc-concurrent-output-prof (1.10.21-1) ...
Selecting previously unselected package libghc-constraints-dev.
Preparing to unpack .../115-libghc-constraints-dev_0.14.2-1_arm64.deb ...
Unpacking libghc-constraints-dev (0.14.2-1) ...
Selecting previously unselected package libghc-constraints-doc.
Preparing to unpack .../116-libghc-constraints-doc_0.14.2-1_all.deb ...
Unpacking libghc-constraints-doc (0.14.2-1) ...
Selecting previously unselected package libghc-constraints-prof.
Preparing to unpack .../117-libghc-constraints-prof_0.14.2-1_arm64.deb ...
Unpacking libghc-constraints-prof (0.14.2-1) ...
Selecting previously unselected package libghc-data-binary-ieee754-dev.
Preparing to unpack .../118-libghc-data-binary-ieee754-dev_0.4.4-13_arm64.deb ...
Unpacking libghc-data-binary-ieee754-dev (0.4.4-13) ...
Selecting previously unselected package libghc-data-binary-ieee754-doc.
Preparing to unpack .../119-libghc-data-binary-ieee754-doc_0.4.4-13_all.deb ...
Unpacking libghc-data-binary-ieee754-doc (0.4.4-13) ...
Selecting previously unselected package libghc-data-binary-ieee754-prof.
Preparing to unpack .../120-libghc-data-binary-ieee754-prof_0.4.4-13_arm64.deb ...
Unpacking libghc-data-binary-ieee754-prof (0.4.4-13) ...
Selecting previously unselected package libghc-data-default-class-dev.
Preparing to unpack .../121-libghc-data-default-class-dev_0.1.2.2-1_arm64.deb ...
Unpacking libghc-data-default-class-dev (0.1.2.2-1) ...
Selecting previously unselected package libghc-data-default-class-doc.
Preparing to unpack .../122-libghc-data-default-class-doc_0.1.2.2-1_all.deb ...
Unpacking libghc-data-default-class-doc (0.1.2.2-1) ...
Selecting previously unselected package libghc-data-default-class-prof.
Preparing to unpack .../123-libghc-data-default-class-prof_0.1.2.2-1_arm64.deb ...
Unpacking libghc-data-default-class-prof (0.1.2.2-1) ...
Selecting previously unselected package libghc-data-fix-dev.
Preparing to unpack .../124-libghc-data-fix-dev_0.3.4-1_arm64.deb ...
Unpacking libghc-data-fix-dev (0.3.4-1) ...
Selecting previously unselected package libghc-data-fix-prof.
Preparing to unpack .../125-libghc-data-fix-prof_0.3.4-1_arm64.deb ...
Unpacking libghc-data-fix-prof (0.3.4-1) ...
Selecting previously unselected package libghc-dlist-dev.
Preparing to unpack .../126-libghc-dlist-dev_1.0-4_arm64.deb ...
Unpacking libghc-dlist-dev (1.0-4) ...
Selecting previously unselected package libghc-dlist-prof.
Preparing to unpack .../127-libghc-dlist-prof_1.0-4_arm64.deb ...
Unpacking libghc-dlist-prof (1.0-4) ...
Selecting previously unselected package libghc-ghc-paths-dev.
Preparing to unpack .../128-libghc-ghc-paths-dev_0.1.0.12-4_arm64.deb ...
Unpacking libghc-ghc-paths-dev (0.1.0.12-4) ...
Selecting previously unselected package libghc-glob-dev.
Preparing to unpack .../129-libghc-glob-dev_0.10.2-3_arm64.deb ...
Unpacking libghc-glob-dev (0.10.2-3) ...
Selecting previously unselected package libghc-syb-dev.
Preparing to unpack .../130-libghc-syb-dev_0.7.2.4-2_arm64.deb ...
Unpacking libghc-syb-dev (0.7.2.4-2) ...
Selecting previously unselected package libghc-doctest-parallel-dev.
Preparing to unpack .../131-libghc-doctest-parallel-dev_0.3.1.1-1+b1_arm64.deb ...
Unpacking libghc-doctest-parallel-dev (0.3.1.1-1+b1) ...
Selecting previously unselected package libghc-ghc-paths-prof.
Preparing to unpack .../132-libghc-ghc-paths-prof_0.1.0.12-4_arm64.deb ...
Unpacking libghc-ghc-paths-prof (0.1.0.12-4) ...
Selecting previously unselected package libghc-glob-prof.
Preparing to unpack .../133-libghc-glob-prof_0.10.2-3_arm64.deb ...
Unpacking libghc-glob-prof (0.10.2-3) ...
Selecting previously unselected package libghc-syb-prof.
Preparing to unpack .../134-libghc-syb-prof_0.7.2.4-2_arm64.deb ...
Unpacking libghc-syb-prof (0.7.2.4-2) ...
Selecting previously unselected package libghc-doctest-parallel-prof.
Preparing to unpack .../135-libghc-doctest-parallel-prof_0.3.1.1-1+b1_arm64.deb ...
Unpacking libghc-doctest-parallel-prof (0.3.1.1-1+b1) ...
Selecting previously unselected package libghc-erf-dev.
Preparing to unpack .../136-libghc-erf-dev_2.0.0.0-19_arm64.deb ...
Unpacking libghc-erf-dev (2.0.0.0-19) ...
Selecting previously unselected package libghc-erf-prof.
Preparing to unpack .../137-libghc-erf-prof_2.0.0.0-19_arm64.deb ...
Unpacking libghc-erf-prof (2.0.0.0-19) ...
Selecting previously unselected package libghc-extra-dev.
Preparing to unpack .../138-libghc-extra-dev_1.7.16-1_arm64.deb ...
Unpacking libghc-extra-dev (1.7.16-1) ...
Selecting previously unselected package libghc-extra-doc.
Preparing to unpack .../139-libghc-extra-doc_1.7.16-1_all.deb ...
Unpacking libghc-extra-doc (1.7.16-1) ...
Selecting previously unselected package libghc-extra-prof.
Preparing to unpack .../140-libghc-extra-prof_1.7.16-1_arm64.deb ...
Unpacking libghc-extra-prof (1.7.16-1) ...
Selecting previously unselected package libghc-first-class-families-dev.
Preparing to unpack .../141-libghc-first-class-families-dev_0.8.1.0-1_arm64.deb ...
Unpacking libghc-first-class-families-dev (0.8.1.0-1) ...
Selecting previously unselected package libghc-first-class-families-prof.
Preparing to unpack .../142-libghc-first-class-families-prof_0.8.1.0-1_arm64.deb ...
Unpacking libghc-first-class-families-prof (0.8.1.0-1) ...
Selecting previously unselected package libghc-ghc-tcplugins-extra-dev.
Preparing to unpack .../143-libghc-ghc-tcplugins-extra-dev_0.4.6-1+b1_arm64.deb ...
Unpacking libghc-ghc-tcplugins-extra-dev (0.4.6-1+b1) ...
Selecting previously unselected package libghc-ghc-tcplugins-extra-prof.
Preparing to unpack .../144-libghc-ghc-tcplugins-extra-prof_0.4.6-1+b1_arm64.deb ...
Unpacking libghc-ghc-tcplugins-extra-prof (0.4.6-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-natnormalise-dev.
Preparing to unpack .../145-libghc-ghc-typelits-natnormalise-dev_0.7.10-1+b1_arm64.deb ...
Unpacking libghc-ghc-typelits-natnormalise-dev (0.7.10-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-knownnat-dev.
Preparing to unpack .../146-libghc-ghc-typelits-knownnat-dev_0.7.12-1+b1_arm64.deb ...
Unpacking libghc-ghc-typelits-knownnat-dev (0.7.12-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-extra-dev.
Preparing to unpack .../147-libghc-ghc-typelits-extra-dev_0.4.7-1+b1_arm64.deb ...
Unpacking libghc-ghc-typelits-extra-dev (0.4.7-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-extra-doc.
Preparing to unpack .../148-libghc-ghc-typelits-extra-doc_0.4.7-1_all.deb ...
Unpacking libghc-ghc-typelits-extra-doc (0.4.7-1) ...
Selecting previously unselected package libghc-ghc-typelits-natnormalise-prof.
Preparing to unpack .../149-libghc-ghc-typelits-natnormalise-prof_0.7.10-1+b1_arm64.deb ...
Unpacking libghc-ghc-typelits-natnormalise-prof (0.7.10-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-knownnat-prof.
Preparing to unpack .../150-libghc-ghc-typelits-knownnat-prof_0.7.12-1+b1_arm64.deb ...
Unpacking libghc-ghc-typelits-knownnat-prof (0.7.12-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-extra-prof.
Preparing to unpack .../151-libghc-ghc-typelits-extra-prof_0.4.7-1+b1_arm64.deb ...
Unpacking libghc-ghc-typelits-extra-prof (0.4.7-1+b1) ...
Selecting previously unselected package libghc-ghc-typelits-knownnat-doc.
Preparing to unpack .../152-libghc-ghc-typelits-knownnat-doc_0.7.12-1_all.deb ...
Unpacking libghc-ghc-typelits-knownnat-doc (0.7.12-1) ...
Selecting previously unselected package libghc-ghc-typelits-natnormalise-doc.
Preparing to unpack .../153-libghc-ghc-typelits-natnormalise-doc_0.7.10-1_all.deb ...
Unpacking libghc-ghc-typelits-natnormalise-doc (0.7.10-1) ...
Selecting previously unselected package libghc-half-dev.
Preparing to unpack .../154-libghc-half-dev_0.3.1-3_arm64.deb ...
Unpacking libghc-half-dev (0.3.1-3) ...
Selecting previously unselected package libghc-half-doc.
Preparing to unpack .../155-libghc-half-doc_0.3.1-3_all.deb ...
Unpacking libghc-half-doc (0.3.1-3) ...
Selecting previously unselected package libghc-half-prof.
Preparing to unpack .../156-libghc-half-prof_0.3.1-3_arm64.deb ...
Unpacking libghc-half-prof (0.3.1-3) ...
Selecting previously unselected package libghc-hashable-doc.
Preparing to unpack .../157-libghc-hashable-doc_1.4.4.0-1_all.deb ...
Unpacking libghc-hashable-doc (1.4.4.0-1) ...
Selecting previously unselected package libghc-haskell-lexer-dev.
Preparing to unpack .../158-libghc-haskell-lexer-dev_1.1.1-2_arm64.deb ...
Unpacking libghc-haskell-lexer-dev (1.1.1-2) ...
Selecting previously unselected package libghc-haskell-lexer-prof.
Preparing to unpack .../159-libghc-haskell-lexer-prof_1.1.1-2_arm64.deb ...
Unpacking libghc-haskell-lexer-prof (1.1.1-2) ...
Selecting previously unselected package libghc-monad-control-dev.
Preparing to unpack .../160-libghc-monad-control-dev_1.0.3.1-3_arm64.deb ...
Unpacking libghc-monad-control-dev (1.0.3.1-3) ...
Selecting previously unselected package libghc-lifted-base-dev.
Preparing to unpack .../161-libghc-lifted-base-dev_0.2.3.12-6_arm64.deb ...
Unpacking libghc-lifted-base-dev (0.2.3.12-6) ...
Selecting previously unselected package libghc-lifted-async-dev.
Preparing to unpack .../162-libghc-lifted-async-dev_0.10.2.6-1_arm64.deb ...
Unpacking libghc-lifted-async-dev (0.10.2.6-1) ...
Selecting previously unselected package libghc-mmorph-dev.
Preparing to unpack .../163-libghc-mmorph-dev_1.2.0-3_arm64.deb ...
Unpacking libghc-mmorph-dev (1.2.0-3) ...
Selecting previously unselected package libghc-pretty-show-dev.
Preparing to unpack .../164-libghc-pretty-show-dev_1.10-4_arm64.deb ...
Unpacking libghc-pretty-show-dev (1.10-4) ...
Selecting previously unselected package libghc-primitive-dev.
Preparing to unpack .../165-libghc-primitive-dev_0.8.0.0-2_arm64.deb ...
Unpacking libghc-primitive-dev (0.8.0.0-2) ...
Selecting previously unselected package libghc-unliftio-core-dev.
Preparing to unpack .../166-libghc-unliftio-core-dev_0.2.1.0-2_arm64.deb ...
Unpacking libghc-unliftio-core-dev (0.2.1.0-2) ...
Selecting previously unselected package libghc-resourcet-dev.
Preparing to unpack .../167-libghc-resourcet-dev_1.3.0-1_arm64.deb ...
Unpacking libghc-resourcet-dev (1.3.0-1) ...
Selecting previously unselected package libghc-safe-exceptions-dev.
Preparing to unpack .../168-libghc-safe-exceptions-dev_0.1.7.4-2_arm64.deb ...
Unpacking libghc-safe-exceptions-dev (0.1.7.4-2) ...
Selecting previously unselected package libghc-wl-pprint-annotated-dev.
Preparing to unpack .../169-libghc-wl-pprint-annotated-dev_0.1.0.1-6_arm64.deb ...
Unpacking libghc-wl-pprint-annotated-dev (0.1.0.1-6) ...
Selecting previously unselected package libghc-hedgehog-dev.
Preparing to unpack .../170-libghc-hedgehog-dev_1.4-1+b1_arm64.deb ...
Unpacking libghc-hedgehog-dev (1.4-1+b1) ...
Selecting previously unselected package libghc-monad-control-prof.
Preparing to unpack .../171-libghc-monad-control-prof_1.0.3.1-3_arm64.deb ...
Unpacking libghc-monad-control-prof (1.0.3.1-3) ...
Selecting previously unselected package libghc-lifted-base-prof.
Preparing to unpack .../172-libghc-lifted-base-prof_0.2.3.12-6_arm64.deb ...
Unpacking libghc-lifted-base-prof (0.2.3.12-6) ...
Selecting previously unselected package libghc-lifted-async-prof.
Preparing to unpack .../173-libghc-lifted-async-prof_0.10.2.6-1_arm64.deb ...
Unpacking libghc-lifted-async-prof (0.10.2.6-1) ...
Selecting previously unselected package libghc-mmorph-prof.
Preparing to unpack .../174-libghc-mmorph-prof_1.2.0-3_arm64.deb ...
Unpacking libghc-mmorph-prof (1.2.0-3) ...
Selecting previously unselected package libghc-pretty-show-prof.
Preparing to unpack .../175-libghc-pretty-show-prof_1.10-4_arm64.deb ...
Unpacking libghc-pretty-show-prof (1.10-4) ...
Selecting previously unselected package libghc-primitive-prof.
Preparing to unpack .../176-libghc-primitive-prof_0.8.0.0-2_arm64.deb ...
Unpacking libghc-primitive-prof (0.8.0.0-2) ...
Selecting previously unselected package libghc-unliftio-core-prof.
Preparing to unpack .../177-libghc-unliftio-core-prof_0.2.1.0-2_arm64.deb ...
Unpacking libghc-unliftio-core-prof (0.2.1.0-2) ...
Selecting previously unselected package libghc-resourcet-prof.
Preparing to unpack .../178-libghc-resourcet-prof_1.3.0-1_arm64.deb ...
Unpacking libghc-resourcet-prof (1.3.0-1) ...
Selecting previously unselected package libghc-safe-exceptions-prof.
Preparing to unpack .../179-libghc-safe-exceptions-prof_0.1.7.4-2_arm64.deb ...
Unpacking libghc-safe-exceptions-prof (0.1.7.4-2) ...
Selecting previously unselected package libghc-wl-pprint-annotated-prof.
Preparing to unpack .../180-libghc-wl-pprint-annotated-prof_0.1.0.1-6_arm64.deb ...
Unpacking libghc-wl-pprint-annotated-prof (0.1.0.1-6) ...
Selecting previously unselected package libghc-hedgehog-prof.
Preparing to unpack .../181-libghc-hedgehog-prof_1.4-1+b1_arm64.deb ...
Unpacking libghc-hedgehog-prof (1.4-1+b1) ...
Selecting previously unselected package libghc-temporary-dev.
Preparing to unpack .../182-libghc-temporary-dev_1.3-5_arm64.deb ...
Unpacking libghc-temporary-dev (1.3-5) ...
Selecting previously unselected package libghc-hint-dev.
Preparing to unpack .../183-libghc-hint-dev_0.9.0.8-1+b1_arm64.deb ...
Unpacking libghc-hint-dev (0.9.0.8-1+b1) ...
Selecting previously unselected package libghc-temporary-prof.
Preparing to unpack .../184-libghc-temporary-prof_1.3-5_arm64.deb ...
Unpacking libghc-temporary-prof (1.3-5) ...
Selecting previously unselected package libghc-hint-prof.
Preparing to unpack .../185-libghc-hint-prof_0.9.0.8-1+b1_arm64.deb ...
Unpacking libghc-hint-prof (0.9.0.8-1+b1) ...
Selecting previously unselected package libghc-onetuple-dev.
Preparing to unpack .../186-libghc-onetuple-dev_0.4.2-1_arm64.deb ...
Unpacking libghc-onetuple-dev (0.4.2-1) ...
Selecting previously unselected package libghc-vector-stream-dev.
Preparing to unpack .../187-libghc-vector-stream-dev_0.1.0.1-1_arm64.deb ...
Unpacking libghc-vector-stream-dev (0.1.0.1-1) ...
Selecting previously unselected package libghc-vector-dev.
Preparing to unpack .../188-libghc-vector-dev_0.13.1.0-2_arm64.deb ...
Unpacking libghc-vector-dev (0.13.1.0-2) ...
Selecting previously unselected package libghc-indexed-traversable-instances-dev.
Preparing to unpack .../189-libghc-indexed-traversable-instances-dev_0.1.2-1_arm64.deb ...
Unpacking libghc-indexed-traversable-instances-dev (0.1.2-1) ...
Selecting previously unselected package libghc-onetuple-prof.
Preparing to unpack .../190-libghc-onetuple-prof_0.4.2-1_arm64.deb ...
Unpacking libghc-onetuple-prof (0.4.2-1) ...
Selecting previously unselected package libghc-vector-stream-prof.
Preparing to unpack .../191-libghc-vector-stream-prof_0.1.0.1-1_arm64.deb ...
Unpacking libghc-vector-stream-prof (0.1.0.1-1) ...
Selecting previously unselected package libghc-vector-prof.
Preparing to unpack .../192-libghc-vector-prof_0.13.1.0-2_arm64.deb ...
Unpacking libghc-vector-prof (0.13.1.0-2) ...
Selecting previously unselected package libghc-indexed-traversable-instances-prof.
Preparing to unpack .../193-libghc-indexed-traversable-instances-prof_0.1.2-1_arm64.deb ...
Unpacking libghc-indexed-traversable-instances-prof (0.1.2-1) ...
Selecting previously unselected package libghc-infinite-list-dev.
Preparing to unpack .../194-libghc-infinite-list-dev_0.1.1-1_arm64.deb ...
Unpacking libghc-infinite-list-dev (0.1.1-1) ...
Selecting previously unselected package libghc-infinite-list-doc.
Preparing to unpack .../195-libghc-infinite-list-doc_0.1.1-1_all.deb ...
Unpacking libghc-infinite-list-doc (0.1.1-1) ...
Selecting previously unselected package libghc-infinite-list-prof.
Preparing to unpack .../196-libghc-infinite-list-prof_0.1.1-1_arm64.deb ...
Unpacking libghc-infinite-list-prof (0.1.1-1) ...
Selecting previously unselected package libghc-invariant-dev.
Preparing to unpack .../197-libghc-invariant-dev_0.6.3-1_arm64.deb ...
Unpacking libghc-invariant-dev (0.6.3-1) ...
Selecting previously unselected package libghc-invariant-prof.
Preparing to unpack .../198-libghc-invariant-prof_0.6.3-1_arm64.deb ...
Unpacking libghc-invariant-prof (0.6.3-1) ...
Selecting previously unselected package libghc-kan-extensions-dev.
Preparing to unpack .../199-libghc-kan-extensions-dev_5.2.6-1_arm64.deb ...
Unpacking libghc-kan-extensions-dev (5.2.6-1) ...
Selecting previously unselected package libghc-kan-extensions-prof.
Preparing to unpack .../200-libghc-kan-extensions-prof_5.2.6-1_arm64.deb ...
Unpacking libghc-kan-extensions-prof (5.2.6-1) ...
Selecting previously unselected package libghc-parallel-dev.
Preparing to unpack .../201-libghc-parallel-dev_3.2.2.0-6_arm64.deb ...
Unpacking libghc-parallel-dev (3.2.2.0-6) ...
Selecting previously unselected package libghc-reflection-dev.
Preparing to unpack .../202-libghc-reflection-dev_2.1.8-2_arm64.deb ...
Unpacking libghc-reflection-dev (2.1.8-2) ...
Selecting previously unselected package libghc-these-dev.
Preparing to unpack .../203-libghc-these-dev_1.2.1-1_arm64.deb ...
Unpacking libghc-these-dev (1.2.1-1) ...
Selecting previously unselected package libghc-strict-dev.
Preparing to unpack .../204-libghc-strict-dev_0.5-2_arm64.deb ...
Unpacking libghc-strict-dev (0.5-2) ...
Selecting previously unselected package libghc-lens-dev.
Preparing to unpack .../205-libghc-lens-dev_5.2.3-2+b1_arm64.deb ...
Unpacking libghc-lens-dev (5.2.3-2+b1) ...
Selecting previously unselected package libghc-lens-doc.
Preparing to unpack .../206-libghc-lens-doc_5.2.3-2_all.deb ...
Unpacking libghc-lens-doc (5.2.3-2) ...
Selecting previously unselected package libghc-parallel-prof.
Preparing to unpack .../207-libghc-parallel-prof_3.2.2.0-6_arm64.deb ...
Unpacking libghc-parallel-prof (3.2.2.0-6) ...
Selecting previously unselected package libghc-reflection-prof.
Preparing to unpack .../208-libghc-reflection-prof_2.1.8-2_arm64.deb ...
Unpacking libghc-reflection-prof (2.1.8-2) ...
Selecting previously unselected package libghc-these-prof.
Preparing to unpack .../209-libghc-these-prof_1.2.1-1_arm64.deb ...
Unpacking libghc-these-prof (1.2.1-1) ...
Selecting previously unselected package libghc-strict-prof.
Preparing to unpack .../210-libghc-strict-prof_0.5-2_arm64.deb ...
Unpacking libghc-strict-prof (0.5-2) ...
Selecting previously unselected package libghc-lens-prof.
Preparing to unpack .../211-libghc-lens-prof_5.2.3-2+b1_arm64.deb ...
Unpacking libghc-lens-prof (5.2.3-2+b1) ...
Selecting previously unselected package libghc-prettyprinter-dev.
Preparing to unpack .../212-libghc-prettyprinter-dev_1.7.1-3_arm64.deb ...
Unpacking libghc-prettyprinter-dev (1.7.1-3) ...
Selecting previously unselected package libghc-prettyprinter-ansi-terminal-dev.
Preparing to unpack .../213-libghc-prettyprinter-ansi-terminal-dev_1.1.3-3_arm64.deb ...
Unpacking libghc-prettyprinter-ansi-terminal-dev (1.1.3-3) ...
Selecting previously unselected package libghc-optparse-applicative-dev.
Preparing to unpack .../214-libghc-optparse-applicative-dev_0.18.1.0-1_arm64.deb ...
Unpacking libghc-optparse-applicative-dev (0.18.1.0-1) ...
Selecting previously unselected package libghc-prettyprinter-prof.
Preparing to unpack .../215-libghc-prettyprinter-prof_1.7.1-3_arm64.deb ...
Unpacking libghc-prettyprinter-prof (1.7.1-3) ...
Selecting previously unselected package libghc-prettyprinter-ansi-terminal-prof.
Preparing to unpack .../216-libghc-prettyprinter-ansi-terminal-prof_1.1.3-3_arm64.deb ...
Unpacking libghc-prettyprinter-ansi-terminal-prof (1.1.3-3) ...
Selecting previously unselected package libghc-optparse-applicative-prof.
Preparing to unpack .../217-libghc-optparse-applicative-prof_0.18.1.0-1_arm64.deb ...
Unpacking libghc-optparse-applicative-prof (0.18.1.0-1) ...
Selecting previously unselected package libghc-quickcheck-classes-base-dev.
Preparing to unpack .../218-libghc-quickcheck-classes-base-dev_0.6.2.0-5_arm64.deb ...
Unpacking libghc-quickcheck-classes-base-dev (0.6.2.0-5) ...
Selecting previously unselected package libghc-quickcheck-classes-base-prof.
Preparing to unpack .../219-libghc-quickcheck-classes-base-prof_0.6.2.0-5_arm64.deb ...
Unpacking libghc-quickcheck-classes-base-prof (0.6.2.0-5) ...
Selecting previously unselected package libghc-quickcheck2-doc.
Preparing to unpack .../220-libghc-quickcheck2-doc_2.14.3-2_all.deb ...
Unpacking libghc-quickcheck2-doc (2.14.3-2) ...
Selecting previously unselected package libghc-recursion-schemes-dev.
Preparing to unpack .../221-libghc-recursion-schemes-dev_5.2.3-1_arm64.deb ...
Unpacking libghc-recursion-schemes-dev (5.2.3-1) ...
Selecting previously unselected package libghc-recursion-schemes-doc.
Preparing to unpack .../222-libghc-recursion-schemes-doc_5.2.3-1_all.deb ...
Unpacking libghc-recursion-schemes-doc (5.2.3-1) ...
Selecting previously unselected package libghc-recursion-schemes-prof.
Preparing to unpack .../223-libghc-recursion-schemes-prof_5.2.3-1_arm64.deb ...
Unpacking libghc-recursion-schemes-prof (5.2.3-1) ...
Selecting previously unselected package libghc-reflection-doc.
Preparing to unpack .../224-libghc-reflection-doc_2.1.8-2_all.deb ...
Unpacking libghc-reflection-doc (2.1.8-2) ...
Selecting previously unselected package libghc-safe-dev.
Preparing to unpack .../225-libghc-safe-dev_0.3.21-1_arm64.deb ...
Unpacking libghc-safe-dev (0.3.21-1) ...
Selecting previously unselected package libghc-safe-prof.
Preparing to unpack .../226-libghc-safe-prof_0.3.21-1_arm64.deb ...
Unpacking libghc-safe-prof (0.3.21-1) ...
Selecting previously unselected package libghc-singletons-dev.
Preparing to unpack .../227-libghc-singletons-dev_3.0.3-1_arm64.deb ...
Unpacking libghc-singletons-dev (3.0.3-1) ...
Selecting previously unselected package libghc-singletons-doc.
Preparing to unpack .../228-libghc-singletons-doc_3.0.3-1_all.deb ...
Unpacking libghc-singletons-doc (3.0.3-1) ...
Selecting previously unselected package libghc-singletons-prof.
Preparing to unpack .../229-libghc-singletons-prof_3.0.3-1_arm64.deb ...
Unpacking libghc-singletons-prof (3.0.3-1) ...
Selecting previously unselected package libghc-split-dev.
Preparing to unpack .../230-libghc-split-dev_0.2.5-1_arm64.deb ...
Unpacking libghc-split-dev (0.2.5-1) ...
Selecting previously unselected package libghc-split-prof.
Preparing to unpack .../231-libghc-split-prof_0.2.5-1_arm64.deb ...
Unpacking libghc-split-prof (0.2.5-1) ...
Selecting previously unselected package libghc-src-exts-dev.
Preparing to unpack .../232-libghc-src-exts-dev_1.23.1-5_arm64.deb ...
Unpacking libghc-src-exts-dev (1.23.1-5) ...
Selecting previously unselected package libghc-src-exts-prof.
Preparing to unpack .../233-libghc-src-exts-prof_1.23.1-5_arm64.deb ...
Unpacking libghc-src-exts-prof (1.23.1-5) ...
Selecting previously unselected package libghc-th-compat-dev.
Preparing to unpack .../234-libghc-th-compat-dev_0.1.5-1_arm64.deb ...
Unpacking libghc-th-compat-dev (0.1.5-1) ...
Selecting previously unselected package libghc-th-lift-dev.
Preparing to unpack .../235-libghc-th-lift-dev_0.8.4-2_arm64.deb ...
Unpacking libghc-th-lift-dev (0.8.4-2) ...
Selecting previously unselected package libghc-th-expand-syns-dev.
Preparing to unpack .../236-libghc-th-expand-syns-dev_0.4.11.0-2_arm64.deb ...
Unpacking libghc-th-expand-syns-dev (0.4.11.0-2) ...
Selecting previously unselected package libghc-th-reify-many-dev.
Preparing to unpack .../237-libghc-th-reify-many-dev_0.1.10-3_arm64.deb ...
Unpacking libghc-th-reify-many-dev (0.1.10-3) ...
Selecting previously unselected package libghc-th-orphans-dev.
Preparing to unpack .../238-libghc-th-orphans-dev_0.13.14-3_arm64.deb ...
Unpacking libghc-th-orphans-dev (0.13.14-3) ...
Selecting previously unselected package libghc-src-meta-dev.
Preparing to unpack .../239-libghc-src-meta-dev_0.8.14-1_arm64.deb ...
Unpacking libghc-src-meta-dev (0.8.14-1) ...
Selecting previously unselected package libghc-th-compat-prof.
Preparing to unpack .../240-libghc-th-compat-prof_0.1.5-1_arm64.deb ...
Unpacking libghc-th-compat-prof (0.1.5-1) ...
Selecting previously unselected package libghc-th-lift-prof.
Preparing to unpack .../241-libghc-th-lift-prof_0.8.4-2_arm64.deb ...
Unpacking libghc-th-lift-prof (0.8.4-2) ...
Selecting previously unselected package libghc-th-expand-syns-prof.
Preparing to unpack .../242-libghc-th-expand-syns-prof_0.4.11.0-2_arm64.deb ...
Unpacking libghc-th-expand-syns-prof (0.4.11.0-2) ...
Selecting previously unselected package libghc-th-reify-many-prof.
Preparing to unpack .../243-libghc-th-reify-many-prof_0.1.10-3_arm64.deb ...
Unpacking libghc-th-reify-many-prof (0.1.10-3) ...
Selecting previously unselected package libghc-th-orphans-prof.
Preparing to unpack .../244-libghc-th-orphans-prof_0.13.14-3_arm64.deb ...
Unpacking libghc-th-orphans-prof (0.13.14-3) ...
Selecting previously unselected package libghc-src-meta-prof.
Preparing to unpack .../245-libghc-src-meta-prof_0.8.14-1_arm64.deb ...
Unpacking libghc-src-meta-prof (0.8.14-1) ...
Selecting previously unselected package libghc-text-conversions-dev.
Preparing to unpack .../246-libghc-text-conversions-dev_0.3.1.1-3_arm64.deb ...
Unpacking libghc-text-conversions-dev (0.3.1.1-3) ...
Selecting previously unselected package libghc-utf8-string-dev.
Preparing to unpack .../247-libghc-utf8-string-dev_1.0.2-3_arm64.deb ...
Unpacking libghc-utf8-string-dev (1.0.2-3) ...
Selecting previously unselected package libghc-string-interpolate-dev.
Preparing to unpack .../248-libghc-string-interpolate-dev_0.3.4.0-1_arm64.deb ...
Unpacking libghc-string-interpolate-dev (0.3.4.0-1) ...
Selecting previously unselected package libghc-string-interpolate-doc.
Preparing to unpack .../249-libghc-string-interpolate-doc_0.3.4.0-1_all.deb ...
Unpacking libghc-string-interpolate-doc (0.3.4.0-1) ...
Selecting previously unselected package libghc-text-conversions-prof.
Preparing to unpack .../250-libghc-text-conversions-prof_0.3.1.1-3_arm64.deb ...
Unpacking libghc-text-conversions-prof (0.3.1.1-3) ...
Selecting previously unselected package libghc-utf8-string-prof.
Preparing to unpack .../251-libghc-utf8-string-prof_1.0.2-3_arm64.deb ...
Unpacking libghc-utf8-string-prof (1.0.2-3) ...
Selecting previously unselected package libghc-string-interpolate-prof.
Preparing to unpack .../252-libghc-string-interpolate-prof_0.3.4.0-1_arm64.deb ...
Unpacking libghc-string-interpolate-prof (0.3.4.0-1) ...
Selecting previously unselected package libghc-tasty-dev.
Preparing to unpack .../253-libghc-tasty-dev_1.4.3-3_arm64.deb ...
Unpacking libghc-tasty-dev (1.4.3-3) ...
Selecting previously unselected package libghc-tasty-hedgehog-dev.
Preparing to unpack .../254-libghc-tasty-hedgehog-dev_1.4.0.2-1+b1_arm64.deb ...
Unpacking libghc-tasty-hedgehog-dev (1.4.0.2-1+b1) ...
Selecting previously unselected package libghc-tasty-prof.
Preparing to unpack .../255-libghc-tasty-prof_1.4.3-3_arm64.deb ...
Unpacking libghc-tasty-prof (1.4.3-3) ...
Selecting previously unselected package libghc-tasty-hedgehog-prof.
Preparing to unpack .../256-libghc-tasty-hedgehog-prof_1.4.0.2-1+b1_arm64.deb ...
Unpacking libghc-tasty-hedgehog-prof (1.4.0.2-1+b1) ...
Selecting previously unselected package libghc-tasty-hunit-dev.
Preparing to unpack .../257-libghc-tasty-hunit-dev_0.10.2-1_arm64.deb ...
Unpacking libghc-tasty-hunit-dev (0.10.2-1) ...
Selecting previously unselected package libghc-tasty-hunit-prof.
Preparing to unpack .../258-libghc-tasty-hunit-prof_0.10.2-1_arm64.deb ...
Unpacking libghc-tasty-hunit-prof (0.10.2-1) ...
Selecting previously unselected package libghc-tasty-quickcheck-dev.
Preparing to unpack .../259-libghc-tasty-quickcheck-dev_0.10.2-3_arm64.deb ...
Unpacking libghc-tasty-quickcheck-dev (0.10.2-3) ...
Selecting previously unselected package libghc-tasty-quickcheck-prof.
Preparing to unpack .../260-libghc-tasty-quickcheck-prof_0.10.2-3_arm64.deb ...
Unpacking libghc-tasty-quickcheck-prof (0.10.2-3) ...
Selecting previously unselected package libghc-tasty-th-dev.
Preparing to unpack .../261-libghc-tasty-th-dev_0.1.7-7_arm64.deb ...
Unpacking libghc-tasty-th-dev (0.1.7-7) ...
Selecting previously unselected package libghc-tasty-th-prof.
Preparing to unpack .../262-libghc-tasty-th-prof_0.1.7-7_arm64.deb ...
Unpacking libghc-tasty-th-prof (0.1.7-7) ...
Selecting previously unselected package libghc-th-lift-doc.
Preparing to unpack .../263-libghc-th-lift-doc_0.8.4-2_all.deb ...
Unpacking libghc-th-lift-doc (0.8.4-2) ...
Selecting previously unselected package libghc-th-orphans-doc.
Preparing to unpack .../264-libghc-th-orphans-doc_0.13.14-3_all.deb ...
Unpacking libghc-th-orphans-doc (0.13.14-3) ...
Selecting previously unselected package libghc-type-errors-dev.
Preparing to unpack .../265-libghc-type-errors-dev_0.2.0.2-2_arm64.deb ...
Unpacking libghc-type-errors-dev (0.2.0.2-2) ...
Selecting previously unselected package libghc-type-errors-doc.
Preparing to unpack .../266-libghc-type-errors-doc_0.2.0.2-2_all.deb ...
Unpacking libghc-type-errors-doc (0.2.0.2-2) ...
Selecting previously unselected package libghc-type-errors-prof.
Preparing to unpack .../267-libghc-type-errors-prof_0.2.0.2-2_arm64.deb ...
Unpacking libghc-type-errors-prof (0.2.0.2-2) ...
Selecting previously unselected package libghc-uniplate-dev.
Preparing to unpack .../268-libghc-uniplate-dev_1.6.13-3_arm64.deb ...
Unpacking libghc-uniplate-dev (1.6.13-3) ...
Selecting previously unselected package libghc-uniplate-doc.
Preparing to unpack .../269-libghc-uniplate-doc_1.6.13-3_all.deb ...
Unpacking libghc-uniplate-doc (1.6.13-3) ...
Selecting previously unselected package libghc-uniplate-prof.
Preparing to unpack .../270-libghc-uniplate-prof_1.6.13-3_arm64.deb ...
Unpacking libghc-uniplate-prof (1.6.13-3) ...
Selecting previously unselected package libghc-vector-doc.
Preparing to unpack .../271-libghc-vector-doc_0.13.1.0-2_all.deb ...
Unpacking libghc-vector-doc (0.13.1.0-2) ...
Selecting previously unselected package libghc-th-abstraction-doc.
Preparing to unpack .../272-libghc-th-abstraction-doc_0.5.0.0-1_all.deb ...
Unpacking libghc-th-abstraction-doc (0.5.0.0-1) ...
Selecting previously unselected package sbuild-build-depends-main-dummy.
Preparing to unpack .../273-sbuild-build-depends-main-dummy_0.invalid.0_arm64.deb ...
Unpacking sbuild-build-depends-main-dummy (0.invalid.0) ...
Setting up media-types (10.1.0) ...
Setting up libfile-stripnondeterminism-perl (1.14.0-1) ...
Setting up fonts-mathjax (2.7.9+dfsg-1) ...
Setting up html-xml-utils (7.7-1.1+b2) ...
Setting up libjs-mathjax (2.7.9+dfsg-1) ...
Setting up libtirpc-common (1.3.4+ds-1.3) ...
Setting up po-debconf (1.0.21+nmu1) ...
Setting up libdebhelper-perl (13.20) ...
Setting up linux-libc-dev (6.11.7-1) ...
Setting up m4 (1.4.19-4) ...
Setting up libffi-dev:arm64 (3.4.6-1) ...
Setting up libfakeroot:arm64 (1.36-1) ...
Setting up libelf1t64:arm64 (0.192-4) ...
Setting up fakeroot (1.36-1) ...
update-alternatives: using /usr/bin/fakeroot-sysv to provide /usr/bin/fakeroot (fakeroot) in auto mode
Setting up autotools-dev (20220109.1) ...
Setting up libgmpxx4ldbl:arm64 (2:6.3.0+dfsg-2+b2) ...
Setting up rpcsvc-proto (1.4.3-1+b1) ...
Setting up libmpfr6:arm64 (4.2.1-1+b2) ...
Setting up libncurses6:arm64 (6.5-2+b1) ...
Setting up libmpc3:arm64 (1.3.1-1+b3) ...
Setting up autopoint (0.22.5-2) ...
Setting up libncursesw6:arm64 (6.5-2+b1) ...
Setting up autoconf (2.72-3) ...
Setting up libubsan1:arm64 (14.2.0-8) ...
Setting up dh-strip-nondeterminism (1.14.0-1) ...
Setting up libdevel-confess-perl (0.009004-4) ...
Setting up libnuma1:arm64 (2.0.18-1+b1) ...
Setting up dwz (0.15-1+b1) ...
Setting up libhwasan0:arm64 (14.2.0-8) ...
Setting up libcrypt-dev:arm64 (1:4.4.36-5) ...
Setting up libasan8:arm64 (14.2.0-8) ...
Setting up libmd-dev:arm64 (1.1.0-2+b1) ...
Setting up libtsan2:arm64 (14.2.0-8) ...
Setting up libisl23:arm64 (0.27-1) ...
Setting up libc-dev-bin (2.40-3) ...
Setting up libbsd-dev:arm64 (0.12.2-2) ...
Setting up libcc1-0:arm64 (14.2.0-8) ...
Setting up liblsan0:arm64 (14.2.0-8) ...
Setting up dctrl-tools (2.24-3+b1) ...
Setting up libitm1:arm64 (14.2.0-8) ...
Setting up automake (1:1.16.5-1.3) ...
update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode
Setting up libgmp-dev:arm64 (2:6.3.0+dfsg-2+b2) ...
Setting up libtirpc3t64:arm64 (1.3.4+ds-1.3+b1) ...
Setting up cpp-14-aarch64-linux-gnu (14.2.0-8) ...
Setting up libnsl2:arm64 (1.3.0-3+b3) ...
Setting up libc6-dev:arm64 (2.40-3) ...
Setting up libgcc-14-dev:arm64 (14.2.0-8) ...
Setting up libstdc++-14-dev:arm64 (14.2.0-8) ...
Setting up libncurses-dev:arm64 (6.5-2+b1) ...
Setting up libpython3.12-stdlib:arm64 (3.12.7-3) ...
Setting up python3.12 (3.12.7-3) ...
Setting up libnuma-dev:arm64 (2.0.18-1+b1) ...
Setting up cpp-aarch64-linux-gnu (4:14.2.0-1) ...
Setting up cpp-14 (14.2.0-8) ...
Setting up cpp (4:14.2.0-1) ...
Setting up gcc-14-aarch64-linux-gnu (14.2.0-8) ...
Setting up libpython3-stdlib:arm64 (3.12.7-1) ...
Setting up gcc-aarch64-linux-gnu (4:14.2.0-1) ...
Setting up g++-14-aarch64-linux-gnu (14.2.0-8) ...
Setting up python3 (3.12.7-1) ...
Setting up gcc-14 (14.2.0-8) ...
Setting up g++-aarch64-linux-gnu (4:14.2.0-1) ...
Setting up python3-scour (0.38.2-5) ...
Setting up g++-14 (14.2.0-8) ...
Setting up libtool (2.4.7-8) ...
Setting up gcc (4:14.2.0-1) ...
Setting up dh-autoreconf (20) ...
Setting up scour (0.38.2-5) ...
Setting up g++ (4:14.2.0-1) ...
update-alternatives: using /usr/bin/g++ to provide /usr/bin/c++ (c++) in auto mode
Setting up build-essential (12.12) ...
Setting up cdbs (0.4.166) ...
Setting up ghc (9.6.6-3) ...
update-alternatives: using /usr/bin/runghc to provide /usr/bin/runhaskell (runhaskell) in auto mode
update-alternatives: using /usr/bin/ghc to provide /usr/bin/haskell-compiler (haskell-compiler) in auto mode
Warning: include-dirs: /usr/lib/ghc/lib/../lib/aarch64-linux-ghc-9.6.6/directory-1.3.8.5/include doesn't exist or isn't a directory
Setting up libghc-data-binary-ieee754-doc (0.4.4-13) ...
Setting up libghc-hashable-doc (1.4.4.0-1) ...
Setting up libghc-src-exts-dev (1.23.1-5) ...
Setting up libghc-quickcheck2-doc (2.14.3-2) ...
Setting up libghc-haskell-lexer-dev (1.1.1-2) ...
Setting up libghc-colour-dev (2.3.6-3) ...
Setting up libghc-singletons-doc (3.0.3-1) ...
Setting up debhelper (13.20) ...
Setting up libghc-ghc-paths-dev (0.1.0.12-4) ...
Setting up libghc-ghc-typelits-knownnat-doc (0.7.12-1) ...
Setting up libghc-vector-doc (0.13.1.0-2) ...
Setting up libghc-data-binary-ieee754-dev (0.4.4-13) ...
Setting up libghc-indexed-traversable-dev (0.1.4-1) ...
Setting up libghc-string-interpolate-doc (0.3.4.0-1) ...
Setting up libghc-code-page-dev (0.2.1-3) ...
Setting up libghc-ghc-tcplugins-extra-dev (0.4.6-1+b1) ...
Setting up libghc-data-default-class-dev (0.1.2.2-1) ...
Setting up libghc-os-string-dev (2.0.6-2) ...
Setting up libghc-wl-pprint-annotated-dev (0.1.0.1-6) ...
Setting up libghc-split-dev (0.2.5-1) ...
Setting up libghc-lazysmallcheck-dev (0.6-15) ...
Setting up libghc-reflection-doc (2.1.8-2) ...
Setting up libghc-th-compat-dev (0.1.5-1) ...
Setting up libghc-base-compat-dev (0.13.1-1) ...
Setting up libghc-clock-dev (0.8.4-2) ...
Setting up libghc-base16-bytestring-dev (1.0.2.0-3) ...
Setting up libghc-splitmix-dev (0.1.0.5-2) ...
Setting up libghc-half-doc (0.3.1-3) ...
Setting up ghc-doc (9.6.6-3) ...
Setting up libghc-th-abstraction-doc (0.5.0.0-1) ...
Setting up libghc-prettyprinter-dev (1.7.1-3) ...
Setting up libghc-statevar-dev (1.2.2-3) ...
Setting up libghc-lens-doc (5.2.3-2) ...
Setting up libghc-ghc-typelits-natnormalise-dev (0.7.10-1+b1) ...
Setting up libghc-th-abstraction-dev (0.5.0.0-1) ...
Setting up libghc-base-orphans-dev (0.9.2-1) ...
Setting up dh-buildinfo (0.11+nmu3) ...
Setting up libghc-transformers-compat-dev (0.7.2-2) ...
Setting up libghc-base64-bytestring-dev (1.2.1.0-3) ...
Setting up libghc-ghc-typelits-extra-doc (0.4.7-1) ...
Setting up libghc-arrows-doc (0.4.4.2-6) ...
Setting up libghc-first-class-families-dev (0.8.1.0-1) ...
Setting up libghc-dlist-dev (1.0-4) ...
Setting up libghc-infinite-list-doc (0.1.1-1) ...
Setting up libghc-data-default-class-doc (0.1.2.2-1) ...
Setting up libghc-th-lift-doc (0.8.4-2) ...
Setting up libghc-terminal-size-dev (0.3.4-2) ...
Setting up libghc-utf8-string-dev (1.0.2-3) ...
Setting up libghc-half-dev (0.3.1-3) ...
Setting up libghc-unliftio-core-dev (0.2.1.0-2) ...
Setting up libghc-syb-dev (0.7.2.4-2) ...
Setting up libghc-extra-doc (1.7.16-1) ...
Setting up libghc-tagged-dev (0.8.8-1) ...
Setting up libghc-uniplate-doc (1.6.13-3) ...
Setting up libghc-assoc-dev (1.1.1-1) ...
Setting up libghc-type-errors-doc (0.2.0.2-2) ...
Setting up libghc-vector-stream-dev (0.1.0.1-1) ...
Setting up libghc-infinite-list-dev (0.1.1-1) ...
Setting up libghc-ansi-terminal-types-dev (0.11.5-3) ...
Setting up libghc-constraints-doc (0.14.2-1) ...
Setting up libghc-onetuple-dev (0.4.2-1) ...
Setting up libghc-erf-dev (2.0.0.0-19) ...
Setting up haskell-devscripts-minimal (0.16.33) ...
Setting up libghc-singletons-dev (3.0.3-1) ...
Setting up libghc-safe-dev (0.3.21-1) ...
Setting up libghc-distributive-dev (0.6.2.1-3) ...
Setting up libghc-ghc-typelits-natnormalise-doc (0.7.10-1) ...
Setting up libghc-hashable-dev (1.4.4.0-1) ...
Setting up libghc-ansi-terminal-dev (1.0.2-1) ...
Setting up libghc-transformers-base-dev (0.4.6-3) ...
Setting up libghc-safe-exceptions-dev (0.1.7.4-2) ...
Setting up libghc-barbies-dev (2.0.5.0-1) ...
Setting up libghc-th-orphans-doc (0.13.14-3) ...
Setting up libghc-type-errors-dev (0.2.0.2-2) ...
Setting up libghc-call-stack-dev (0.4.0-3) ...
Setting up libghc-semigroups-dev (0.20-3) ...
Setting up libghc-primitive-dev (0.8.0.0-2) ...
Setting up ghc-prof (9.6.6-3) ...
Setting up libghc-void-dev (0.7.3-5) ...
Setting up libghc-reflection-dev (2.1.8-2) ...
Setting up libghc-pretty-show-dev (1.10-4) ...
Setting up libghc-recursion-schemes-doc (5.2.3-1) ...
Setting up libghc-parallel-dev (3.2.2.0-6) ...
Setting up libghc-boring-dev (0.2.2-1) ...
Setting up libghc-dlist-prof (1.0-4) ...
Setting up libghc-first-class-families-prof (0.8.1.0-1) ...
Setting up libghc-resourcet-dev (1.3.0-1) ...
Setting up libghc-random-dev (1.2.1.2-1) ...
Setting up libghc-extra-dev (1.7.16-1) ...
Setting up libghc-constraints-dev (0.14.2-1) ...
Setting up libghc-prettyprinter-prof (1.7.1-3) ...
Setting up libghc-primitive-prof (0.8.0.0-2) ...
Setting up libghc-haskell-lexer-prof (1.1.1-2) ...
Setting up libghc-safe-exceptions-prof (0.1.7.4-2) ...
Setting up libghc-void-prof (0.7.3-5) ...
Setting up libghc-clock-prof (0.8.4-2) ...
Setting up libghc-data-default-class-prof (0.1.2.2-1) ...
Setting up libghc-semigroups-prof (0.20-3) ...
Setting up libghc-statevar-prof (1.2.2-3) ...
Setting up libghc-glob-dev (0.10.2-3) ...
Setting up libghc-base-orphans-prof (0.9.2-1) ...
Setting up libghc-comonad-dev (5.0.8-3) ...
Setting up libghc-half-prof (0.3.1-3) ...
Setting up libghc-splitmix-prof (0.1.0.5-2) ...
Setting up libghc-singletons-prof (3.0.3-1) ...
Setting up libghc-os-string-prof (2.0.6-2) ...
Setting up libghc-terminal-size-prof (0.3.4-2) ...
Setting up libghc-lazysmallcheck-prof (0.6-15) ...
Setting up libghc-th-expand-syns-dev (0.4.11.0-2) ...
Setting up libghc-contravariant-dev (1.5.5-3) ...
Setting up libghc-quickcheck2-dev (2.14.3-2) ...
Setting up libghc-unliftio-core-prof (0.2.1.0-2) ...
Setting up libghc-utf8-string-prof (1.0.2-3) ...
Setting up libghc-ghc-tcplugins-extra-prof (0.4.6-1+b1) ...
Setting up libghc-th-abstraction-prof (0.5.0.0-1) ...
Setting up libghc-temporary-dev (1.3-5) ...
Setting up libghc-unordered-containers-dev (0.2.20-3) ...
Setting up libghc-th-lift-dev (0.8.4-2) ...
Setting up libghc-bifunctors-dev (5.6.2-1) ...
Setting up libghc-uniplate-dev (1.6.13-3) ...
Setting up libghc-text-conversions-dev (0.3.1.1-3) ...
Setting up libghc-code-page-prof (0.2.1-3) ...
Setting up libghc-hint-dev (0.9.0.8-1+b1) ...
Setting up libghc-th-compat-prof (0.1.5-1) ...
Setting up libghc-colour-prof (2.3.6-3) ...
Setting up libghc-ghc-typelits-knownnat-dev (0.7.12-1+b1) ...
Setting up libghc-these-dev (1.2.1-1) ...
Setting up libghc-transformers-compat-prof (0.7.2-2) ...
Setting up libghc-mmorph-dev (1.2.0-3) ...
Setting up libghc-data-fix-dev (0.3.4-1) ...
Setting up libghc-tagged-prof (0.8.8-1) ...
Setting up libghc-src-exts-prof (1.23.1-5) ...
Setting up libghc-erf-prof (2.0.0.0-19) ...
Setting up libghc-doctest-parallel-dev (0.3.1.1-1+b1) ...
Setting up libghc-profunctors-dev (5.6.2-3) ...
Setting up libghc-quickcheck-classes-base-dev (0.6.2.0-5) ...
Setting up libghc-call-stack-prof (0.4.0-3) ...
Setting up libghc-stream-dev (0.4.7.2-10) ...
Setting up libghc-reflection-prof (2.1.8-2) ...
Setting up libghc-async-dev (2.2.5-1) ...
Setting up libghc-safe-prof (0.3.21-1) ...
Setting up libghc-base16-bytestring-prof (1.0.2.0-3) ...
Setting up libghc-split-prof (0.2.5-1) ...
Setting up libghc-data-binary-ieee754-prof (0.4.4-13) ...
Setting up libghc-pretty-show-prof (1.10-4) ...
Setting up libghc-vector-stream-prof (0.1.0.1-1) ...
Setting up libghc-parallel-prof (3.2.2.0-6) ...
Setting up libghc-indexed-traversable-prof (0.1.4-1) ...
Setting up libghc-prettyprinter-ansi-terminal-dev (1.1.3-3) ...
Setting up libghc-ghc-typelits-extra-dev (0.4.7-1+b1) ...
Setting up libghc-base64-bytestring-prof (1.2.1.0-3) ...
Setting up libghc-transformers-base-prof (0.4.6-3) ...
Setting up libghc-vector-dev (0.13.1.0-2) ...
Setting up libghc-monad-control-dev (1.0.3.1-3) ...
Setting up libghc-infinite-list-prof (0.1.1-1) ...
Setting up libghc-base-compat-prof (0.13.1-1) ...
Setting up libghc-mmorph-prof (1.2.0-3) ...
Setting up libghc-th-reify-many-dev (0.1.10-3) ...
Setting up libghc-wl-pprint-annotated-prof (0.1.0.1-6) ...
Setting up libghc-extra-prof (1.7.16-1) ...
Setting up libghc-ghc-paths-prof (0.1.0.12-4) ...
Setting up libghc-onetuple-prof (0.4.2-1) ...
Setting up libghc-assoc-prof (1.1.1-1) ...
Setting up libghc-monad-control-prof (1.0.3.1-3) ...
Setting up libghc-syb-prof (0.7.2.4-2) ...
Setting up libghc-resourcet-prof (1.3.0-1) ...
Setting up libghc-invariant-dev (0.6.3-1) ...
Setting up libghc-random-prof (1.2.1.2-1) ...
Setting up libghc-lifted-base-dev (0.2.3.12-6) ...
Setting up libghc-ansi-terminal-types-prof (0.11.5-3) ...
Setting up libghc-contravariant-prof (1.5.5-3) ...
Setting up libghc-semigroupoids-dev (6.0.1-1) ...
Setting up libghc-boring-prof (0.2.2-1) ...
Setting up libghc-th-orphans-dev (0.13.14-3) ...
Setting up libghc-distributive-prof (0.6.2.1-3) ...
Setting up libghc-hashable-prof (1.4.4.0-1) ...
Setting up libghc-strict-dev (0.5-2) ...
Setting up libghc-th-expand-syns-prof (0.4.11.0-2) ...
Setting up libghc-ghc-typelits-natnormalise-prof (0.7.10-1+b1) ...
Setting up libghc-temporary-prof (1.3-5) ...
Setting up libghc-vector-prof (0.13.1.0-2) ...
Setting up libghc-type-errors-prof (0.2.0.2-2) ...
Setting up libghc-concurrent-output-dev (1.10.21-1) ...
Setting up libghc-th-lift-prof (0.8.4-2) ...
Setting up libghc-arrows-dev (0.4.4.2-6) ...
Setting up libghc-glob-prof (0.10.2-3) ...
Setting up libghc-ghc-typelits-knownnat-prof (0.7.12-1+b1) ...
Setting up libghc-text-conversions-prof (0.3.1.1-3) ...
Setting up libghc-optparse-applicative-dev (0.18.1.0-1) ...
Setting up libghc-data-fix-prof (0.3.4-1) ...
Setting up libghc-lifted-async-dev (0.10.2.6-1) ...
Setting up libghc-th-reify-many-prof (0.1.10-3) ...
Setting up libghc-ghc-typelits-extra-prof (0.4.7-1+b1) ...
Setting up libghc-indexed-traversable-instances-dev (0.1.2-1) ...
Setting up libghc-unordered-containers-prof (0.2.20-3) ...
Setting up libghc-async-prof (2.2.5-1) ...
Setting up libghc-barbies-prof (2.0.5.0-1) ...
Setting up libghc-quickcheck2-prof (2.14.3-2) ...
Setting up libghc-lifted-base-prof (0.2.3.12-6) ...
Setting up libghc-indexed-traversable-instances-prof (0.1.2-1) ...
Setting up libghc-free-dev (5.2-1) ...
Setting up libghc-constraints-prof (0.14.2-1) ...
Setting up libghc-th-orphans-prof (0.13.14-3) ...
Setting up libghc-quickcheck-classes-base-prof (0.6.2.0-5) ...
Setting up libghc-ansi-terminal-prof (1.0.2-1) ...
Setting up libghc-hedgehog-dev (1.4-1+b1) ...
Setting up libghc-comonad-prof (5.0.8-3) ...
Setting up libghc-src-meta-dev (0.8.14-1) ...
Setting up libghc-hint-prof (0.9.0.8-1+b1) ...
Setting up libghc-these-prof (1.2.1-1) ...
Setting up libghc-uniplate-prof (1.6.13-3) ...
Setting up libghc-tasty-dev (1.4.3-3) ...
Setting up libghc-adjunctions-dev (4.4.2-3) ...
Setting up libghc-strict-prof (0.5-2) ...
Setting up libghc-concurrent-output-prof (1.10.21-1) ...
Setting up libghc-bifunctors-prof (5.6.2-1) ...
Setting up libghc-string-interpolate-dev (0.3.4.0-1) ...
Setting up libghc-tasty-hedgehog-dev (1.4.0.2-1+b1) ...
Setting up libghc-prettyprinter-ansi-terminal-prof (1.1.3-3) ...
Setting up libghc-recursion-schemes-dev (5.2.3-1) ...
Setting up libghc-doctest-parallel-prof (0.3.1.1-1+b1) ...
Setting up libghc-stream-prof (0.4.7.2-10) ...
Setting up libghc-lifted-async-prof (0.10.2.6-1) ...
Setting up libghc-profunctors-prof (5.6.2-3) ...
Setting up libghc-tasty-quickcheck-dev (0.10.2-3) ...
Setting up libghc-optparse-applicative-prof (0.18.1.0-1) ...
Setting up libghc-tasty-th-dev (0.1.7-7) ...
Setting up libghc-src-meta-prof (0.8.14-1) ...
Setting up libghc-semigroupoids-prof (6.0.1-1) ...
Setting up libghc-kan-extensions-dev (5.2.6-1) ...
Setting up libghc-arrows-prof (0.4.4.2-6) ...
Setting up libghc-tasty-hunit-dev (0.10.2-1) ...
Setting up libghc-string-interpolate-prof (0.3.4.0-1) ...
Setting up libghc-tasty-prof (1.4.3-3) ...
Setting up libghc-free-prof (5.2-1) ...
Setting up libghc-invariant-prof (0.6.3-1) ...
Setting up libghc-recursion-schemes-prof (5.2.3-1) ...
Setting up libghc-hedgehog-prof (1.4-1+b1) ...
Setting up libghc-tasty-quickcheck-prof (0.10.2-3) ...
Setting up libghc-lens-dev (5.2.3-2+b1) ...
Setting up libghc-tasty-th-prof (0.1.7-7) ...
Setting up libghc-tasty-hedgehog-prof (1.4.0.2-1+b1) ...
Setting up libghc-tasty-hunit-prof (0.10.2-1) ...
Setting up libghc-adjunctions-prof (4.4.2-3) ...
Setting up libghc-kan-extensions-prof (5.2.6-1) ...
Setting up libghc-lens-prof (5.2.3-2+b1) ...
Setting up sbuild-build-depends-main-dummy (0.invalid.0) ...
Processing triggers for man-db (2.13.0-1) ...
Processing triggers for libc-bin (2.40-3) ...
+------------------------------------------------------------------------------+
| Check architectures |
+------------------------------------------------------------------------------+
Arch check ok (arm64 included in any all)
+------------------------------------------------------------------------------+
| Build environment |
+------------------------------------------------------------------------------+
Kernel: Linux 6.1.0-27-cloud-arm64 #1 SMP Debian 6.1.115-1 (2024-11-01) arm64 (aarch64)
Toolchain package versions: binutils_2.43.1-5 dpkg-dev_1.22.12~1.gbp82cafd g++-14_14.2.0-8 gcc-14_14.2.0-8 libc6-dev_2.40-3 libstdc++-14-dev_14.2.0-8 libstdc++6_14.2.0-8 linux-libc-dev_6.11.7-1
Package versions: appstream_1.0.3-1+b1 apt_2.9.11 autoconf_2.72-3 automake_1:1.16.5-1.3 autopoint_0.22.5-2 autotools-dev_20220109.1 base-files_13.5 base-passwd_3.6.5 bash_5.2.32-1+b2 binutils_2.43.1-5 binutils-aarch64-linux-gnu_2.43.1-5 binutils-common_2.43.1-5 bsdextrautils_2.40.2-11 bsdutils_1:2.40.2-11 build-essential_12.12 bzip2_1.0.8-6 ca-certificates_20240203 cdbs_0.4.166 coreutils_9.5-1+b1 cpp_4:14.2.0-1 cpp-14_14.2.0-8 cpp-14-aarch64-linux-gnu_14.2.0-8 cpp-aarch64-linux-gnu_4:14.2.0-1 dash_0.5.12-9+b1 dctrl-tools_2.24-3+b1 debconf_1.5.87 debhelper_13.20 debian-archive-keyring_2023.4 debianutils_5.21 dh-autoreconf_20 dh-buildinfo_0.11+nmu3 dh-strip-nondeterminism_1.14.0-1 diffstat_1.66-1+b1 diffutils_1:3.10-1+b1 dpkg_1.22.12~1.gbp82cafd dpkg-dev_1.22.12~1.gbp82cafd dwz_0.15-1+b1 e2fsprogs_1.47.1-1+b1 fakeroot_1.36-1 file_1:5.45-3+b1 findutils_4.10.0-3 fonts-mathjax_2.7.9+dfsg-1 g++_4:14.2.0-1 g++-14_14.2.0-8 g++-14-aarch64-linux-gnu_14.2.0-8 g++-aarch64-linux-gnu_4:14.2.0-1 gcc_4:14.2.0-1 gcc-14_14.2.0-8 gcc-14-aarch64-linux-gnu_14.2.0-8 gcc-14-base_14.2.0-8 gcc-aarch64-linux-gnu_4:14.2.0-1 gettext_0.22.5-2 gettext-base_0.22.5-2 ghc_9.6.6-3 ghc-doc_9.6.6-3 ghc-prof_9.6.6-3 gpg_2.2.45-2 gpgconf_2.2.45-2 gpgv_2.2.45-2 grep_3.11-4+b1 groff-base_1.23.0-5 gzip_1.12-1.1+b1 haskell-devscripts-minimal_0.16.33 hostname_3.25 html-xml-utils_7.7-1.1+b2 init-system-helpers_1.67 intltool-debian_0.35.0+20060710.6 iso-codes_4.17.0-1 libacl1_2.3.2-2+b1 libaliased-perl_0.34-3 libappstream5_1.0.3-1+b1 libapt-pkg-perl_0.1.40+b6 libapt-pkg6.0t64_2.9.11 libarchive-zip-perl_1.68-1 libasan8_14.2.0-8 libassuan9_3.0.1-2 libatomic1_14.2.0-8 libattr1_1:2.5.2-2 libaudit-common_1:4.0.2-2 libaudit1_1:4.0.2-2 libb-hooks-endofscope-perl_0.28-1 libb-hooks-op-check-perl_0.22-3+b2 libberkeleydb-perl_0.66-1 libbinutils_2.43.1-5 libblkid1_2.40.2-11 libbrotli1_1.1.0-2+b6 libbsd-dev_0.12.2-2 libbsd0_0.12.2-2 libbz2-1.0_1.0.8-6 libc-bin_2.40-3 libc-dev-bin_2.40-3 libc6_2.40-3 libc6-dev_2.40-3 libcap-ng0_0.8.5-3+b1 libcap2_1:2.66-5+b1 libcapture-tiny-perl_0.48-2 libcc1-0_14.2.0-8 libcgi-pm-perl_4.66-1 libclass-data-inheritable-perl_0.10-1 libclass-inspector-perl_1.36-3 libclass-method-modifiers-perl_2.15-1 libclass-xsaccessor-perl_1.19-4+b4 libclone-perl_0.47-1+b1 libcom-err2_1.47.1-1+b1 libconfig-tiny-perl_2.30-1 libconst-fast-perl_0.014-2 libcpanel-json-xs-perl_4.38-1+b1 libcrypt-dev_1:4.4.36-5 libcrypt1_1:4.4.36-5 libctf-nobfd0_2.43.1-5 libctf0_2.43.1-5 libcurl3t64-gnutls_8.11.0-1 libdata-dpath-perl_0.60-1 libdata-messagepack-perl_1.02-1+b4 libdata-optlist-perl_0.114-1 libdata-validate-domain-perl_0.15-1 libdata-validate-ip-perl_0.31-1 libdata-validate-uri-perl_0.07-3 libdb5.3t64_5.3.28+dfsg2-9 libdebconfclient0_0.273 libdebhelper-perl_13.20 libdevel-callchecker-perl_0.009-1+b1 libdevel-confess-perl_0.009004-4 libdevel-size-perl_0.84-1+b1 libdevel-stacktrace-perl_2.0500-1 libdpkg-perl_1.22.12~1.gbp82cafd libdynaloader-functions-perl_0.004-1 libelf1t64_0.192-4 libemail-address-xs-perl_1.05-1+b4 libencode-locale-perl_1.05-3 libexception-class-perl_1.45-1 libexpat1_2.6.4-1 libext2fs2t64_1.47.1-1+b1 libfakeroot_1.36-1 libffi-dev_3.4.6-1 libffi8_3.4.6-1 libfile-basedir-perl_0.09-2 libfile-find-rule-perl_0.34-3 libfile-listing-perl_6.16-1 libfile-sharedir-perl_1.118-3 libfile-stripnondeterminism-perl_1.14.0-1 libfont-ttf-perl_1.06-2 libgcc-14-dev_14.2.0-8 libgcc-s1_14.2.0-8 libgcrypt20_1.11.0-6 libgdbm-compat4t64_1.24-2 libgdbm6t64_1.24-2 libghc-adjunctions-dev_4.4.2-3 libghc-adjunctions-prof_4.4.2-3 libghc-ansi-terminal-dev_1.0.2-1 libghc-ansi-terminal-prof_1.0.2-1 libghc-ansi-terminal-types-dev_0.11.5-3 libghc-ansi-terminal-types-prof_0.11.5-3 libghc-arrows-dev_0.4.4.2-6 libghc-arrows-doc_0.4.4.2-6 libghc-arrows-prof_0.4.4.2-6 libghc-assoc-dev_1.1.1-1 libghc-assoc-prof_1.1.1-1 libghc-async-dev_2.2.5-1 libghc-async-prof_2.2.5-1 libghc-barbies-dev_2.0.5.0-1 libghc-barbies-prof_2.0.5.0-1 libghc-base-compat-dev_0.13.1-1 libghc-base-compat-prof_0.13.1-1 libghc-base-orphans-dev_0.9.2-1 libghc-base-orphans-prof_0.9.2-1 libghc-base16-bytestring-dev_1.0.2.0-3 libghc-base16-bytestring-prof_1.0.2.0-3 libghc-base64-bytestring-dev_1.2.1.0-3 libghc-base64-bytestring-prof_1.2.1.0-3 libghc-bifunctors-dev_5.6.2-1 libghc-bifunctors-prof_5.6.2-1 libghc-boring-dev_0.2.2-1 libghc-boring-prof_0.2.2-1 libghc-call-stack-dev_0.4.0-3 libghc-call-stack-prof_0.4.0-3 libghc-clock-dev_0.8.4-2 libghc-clock-prof_0.8.4-2 libghc-code-page-dev_0.2.1-3 libghc-code-page-prof_0.2.1-3 libghc-colour-dev_2.3.6-3 libghc-colour-prof_2.3.6-3 libghc-comonad-dev_5.0.8-3 libghc-comonad-prof_5.0.8-3 libghc-concurrent-output-dev_1.10.21-1 libghc-concurrent-output-prof_1.10.21-1 libghc-constraints-dev_0.14.2-1 libghc-constraints-doc_0.14.2-1 libghc-constraints-prof_0.14.2-1 libghc-contravariant-dev_1.5.5-3 libghc-contravariant-prof_1.5.5-3 libghc-data-binary-ieee754-dev_0.4.4-13 libghc-data-binary-ieee754-doc_0.4.4-13 libghc-data-binary-ieee754-prof_0.4.4-13 libghc-data-default-class-dev_0.1.2.2-1 libghc-data-default-class-doc_0.1.2.2-1 libghc-data-default-class-prof_0.1.2.2-1 libghc-data-fix-dev_0.3.4-1 libghc-data-fix-prof_0.3.4-1 libghc-distributive-dev_0.6.2.1-3 libghc-distributive-prof_0.6.2.1-3 libghc-dlist-dev_1.0-4 libghc-dlist-prof_1.0-4 libghc-doctest-parallel-dev_0.3.1.1-1+b1 libghc-doctest-parallel-prof_0.3.1.1-1+b1 libghc-erf-dev_2.0.0.0-19 libghc-erf-prof_2.0.0.0-19 libghc-extra-dev_1.7.16-1 libghc-extra-doc_1.7.16-1 libghc-extra-prof_1.7.16-1 libghc-first-class-families-dev_0.8.1.0-1 libghc-first-class-families-prof_0.8.1.0-1 libghc-free-dev_5.2-1 libghc-free-prof_5.2-1 libghc-ghc-paths-dev_0.1.0.12-4 libghc-ghc-paths-prof_0.1.0.12-4 libghc-ghc-tcplugins-extra-dev_0.4.6-1+b1 libghc-ghc-tcplugins-extra-prof_0.4.6-1+b1 libghc-ghc-typelits-extra-dev_0.4.7-1+b1 libghc-ghc-typelits-extra-doc_0.4.7-1 libghc-ghc-typelits-extra-prof_0.4.7-1+b1 libghc-ghc-typelits-knownnat-dev_0.7.12-1+b1 libghc-ghc-typelits-knownnat-doc_0.7.12-1 libghc-ghc-typelits-knownnat-prof_0.7.12-1+b1 libghc-ghc-typelits-natnormalise-dev_0.7.10-1+b1 libghc-ghc-typelits-natnormalise-doc_0.7.10-1 libghc-ghc-typelits-natnormalise-prof_0.7.10-1+b1 libghc-glob-dev_0.10.2-3 libghc-glob-prof_0.10.2-3 libghc-half-dev_0.3.1-3 libghc-half-doc_0.3.1-3 libghc-half-prof_0.3.1-3 libghc-hashable-dev_1.4.4.0-1 libghc-hashable-doc_1.4.4.0-1 libghc-hashable-prof_1.4.4.0-1 libghc-haskell-lexer-dev_1.1.1-2 libghc-haskell-lexer-prof_1.1.1-2 libghc-hedgehog-dev_1.4-1+b1 libghc-hedgehog-prof_1.4-1+b1 libghc-hint-dev_0.9.0.8-1+b1 libghc-hint-prof_0.9.0.8-1+b1 libghc-indexed-traversable-dev_0.1.4-1 libghc-indexed-traversable-instances-dev_0.1.2-1 libghc-indexed-traversable-instances-prof_0.1.2-1 libghc-indexed-traversable-prof_0.1.4-1 libghc-infinite-list-dev_0.1.1-1 libghc-infinite-list-doc_0.1.1-1 libghc-infinite-list-prof_0.1.1-1 libghc-invariant-dev_0.6.3-1 libghc-invariant-prof_0.6.3-1 libghc-kan-extensions-dev_5.2.6-1 libghc-kan-extensions-prof_5.2.6-1 libghc-lazysmallcheck-dev_0.6-15 libghc-lazysmallcheck-prof_0.6-15 libghc-lens-dev_5.2.3-2+b1 libghc-lens-doc_5.2.3-2 libghc-lens-prof_5.2.3-2+b1 libghc-lifted-async-dev_0.10.2.6-1 libghc-lifted-async-prof_0.10.2.6-1 libghc-lifted-base-dev_0.2.3.12-6 libghc-lifted-base-prof_0.2.3.12-6 libghc-mmorph-dev_1.2.0-3 libghc-mmorph-prof_1.2.0-3 libghc-monad-control-dev_1.0.3.1-3 libghc-monad-control-prof_1.0.3.1-3 libghc-onetuple-dev_0.4.2-1 libghc-onetuple-prof_0.4.2-1 libghc-optparse-applicative-dev_0.18.1.0-1 libghc-optparse-applicative-prof_0.18.1.0-1 libghc-os-string-dev_2.0.6-2 libghc-os-string-prof_2.0.6-2 libghc-parallel-dev_3.2.2.0-6 libghc-parallel-prof_3.2.2.0-6 libghc-pretty-show-dev_1.10-4 libghc-pretty-show-prof_1.10-4 libghc-prettyprinter-ansi-terminal-dev_1.1.3-3 libghc-prettyprinter-ansi-terminal-prof_1.1.3-3 libghc-prettyprinter-dev_1.7.1-3 libghc-prettyprinter-prof_1.7.1-3 libghc-primitive-dev_0.8.0.0-2 libghc-primitive-prof_0.8.0.0-2 libghc-profunctors-dev_5.6.2-3 libghc-profunctors-prof_5.6.2-3 libghc-quickcheck-classes-base-dev_0.6.2.0-5 libghc-quickcheck-classes-base-prof_0.6.2.0-5 libghc-quickcheck2-dev_2.14.3-2 libghc-quickcheck2-doc_2.14.3-2 libghc-quickcheck2-prof_2.14.3-2 libghc-random-dev_1.2.1.2-1 libghc-random-prof_1.2.1.2-1 libghc-recursion-schemes-dev_5.2.3-1 libghc-recursion-schemes-doc_5.2.3-1 libghc-recursion-schemes-prof_5.2.3-1 libghc-reflection-dev_2.1.8-2 libghc-reflection-doc_2.1.8-2 libghc-reflection-prof_2.1.8-2 libghc-resourcet-dev_1.3.0-1 libghc-resourcet-prof_1.3.0-1 libghc-safe-dev_0.3.21-1 libghc-safe-exceptions-dev_0.1.7.4-2 libghc-safe-exceptions-prof_0.1.7.4-2 libghc-safe-prof_0.3.21-1 libghc-semigroupoids-dev_6.0.1-1 libghc-semigroupoids-prof_6.0.1-1 libghc-semigroups-dev_0.20-3 libghc-semigroups-prof_0.20-3 libghc-singletons-dev_3.0.3-1 libghc-singletons-doc_3.0.3-1 libghc-singletons-prof_3.0.3-1 libghc-split-dev_0.2.5-1 libghc-split-prof_0.2.5-1 libghc-splitmix-dev_0.1.0.5-2 libghc-splitmix-prof_0.1.0.5-2 libghc-src-exts-dev_1.23.1-5 libghc-src-exts-prof_1.23.1-5 libghc-src-meta-dev_0.8.14-1 libghc-src-meta-prof_0.8.14-1 libghc-statevar-dev_1.2.2-3 libghc-statevar-prof_1.2.2-3 libghc-stream-dev_0.4.7.2-10 libghc-stream-prof_0.4.7.2-10 libghc-strict-dev_0.5-2 libghc-strict-prof_0.5-2 libghc-string-interpolate-dev_0.3.4.0-1 libghc-string-interpolate-doc_0.3.4.0-1 libghc-string-interpolate-prof_0.3.4.0-1 libghc-syb-dev_0.7.2.4-2 libghc-syb-prof_0.7.2.4-2 libghc-tagged-dev_0.8.8-1 libghc-tagged-prof_0.8.8-1 libghc-tasty-dev_1.4.3-3 libghc-tasty-hedgehog-dev_1.4.0.2-1+b1 libghc-tasty-hedgehog-prof_1.4.0.2-1+b1 libghc-tasty-hunit-dev_0.10.2-1 libghc-tasty-hunit-prof_0.10.2-1 libghc-tasty-prof_1.4.3-3 libghc-tasty-quickcheck-dev_0.10.2-3 libghc-tasty-quickcheck-prof_0.10.2-3 libghc-tasty-th-dev_0.1.7-7 libghc-tasty-th-prof_0.1.7-7 libghc-temporary-dev_1.3-5 libghc-temporary-prof_1.3-5 libghc-terminal-size-dev_0.3.4-2 libghc-terminal-size-prof_0.3.4-2 libghc-text-conversions-dev_0.3.1.1-3 libghc-text-conversions-prof_0.3.1.1-3 libghc-th-abstraction-dev_0.5.0.0-1 libghc-th-abstraction-doc_0.5.0.0-1 libghc-th-abstraction-prof_0.5.0.0-1 libghc-th-compat-dev_0.1.5-1 libghc-th-compat-prof_0.1.5-1 libghc-th-expand-syns-dev_0.4.11.0-2 libghc-th-expand-syns-prof_0.4.11.0-2 libghc-th-lift-dev_0.8.4-2 libghc-th-lift-doc_0.8.4-2 libghc-th-lift-prof_0.8.4-2 libghc-th-orphans-dev_0.13.14-3 libghc-th-orphans-doc_0.13.14-3 libghc-th-orphans-prof_0.13.14-3 libghc-th-reify-many-dev_0.1.10-3 libghc-th-reify-many-prof_0.1.10-3 libghc-these-dev_1.2.1-1 libghc-these-prof_1.2.1-1 libghc-transformers-base-dev_0.4.6-3 libghc-transformers-base-prof_0.4.6-3 libghc-transformers-compat-dev_0.7.2-2 libghc-transformers-compat-prof_0.7.2-2 libghc-type-errors-dev_0.2.0.2-2 libghc-type-errors-doc_0.2.0.2-2 libghc-type-errors-prof_0.2.0.2-2 libghc-uniplate-dev_1.6.13-3 libghc-uniplate-doc_1.6.13-3 libghc-uniplate-prof_1.6.13-3 libghc-unliftio-core-dev_0.2.1.0-2 libghc-unliftio-core-prof_0.2.1.0-2 libghc-unordered-containers-dev_0.2.20-3 libghc-unordered-containers-prof_0.2.20-3 libghc-utf8-string-dev_1.0.2-3 libghc-utf8-string-prof_1.0.2-3 libghc-vector-dev_0.13.1.0-2 libghc-vector-doc_0.13.1.0-2 libghc-vector-prof_0.13.1.0-2 libghc-vector-stream-dev_0.1.0.1-1 libghc-vector-stream-prof_0.1.0.1-1 libghc-void-dev_0.7.3-5 libghc-void-prof_0.7.3-5 libghc-wl-pprint-annotated-dev_0.1.0.1-6 libghc-wl-pprint-annotated-prof_0.1.0.1-6 libglib2.0-0t64_2.82.2-3 libgmp-dev_2:6.3.0+dfsg-2+b2 libgmp10_2:6.3.0+dfsg-2+b2 libgmpxx4ldbl_2:6.3.0+dfsg-2+b2 libgnutls30t64_3.8.8-2 libgomp1_14.2.0-8 libgpg-error0_1.50-4 libgprofng0_2.43.1-5 libgssapi-krb5-2_1.21.3-3 libhogweed6t64_3.10-1+b1 libhtml-form-perl_6.12-1 libhtml-html5-entities-perl_0.004-3 libhtml-parser-perl_3.83-1+b1 libhtml-tagset-perl_3.24-1 libhtml-tokeparser-simple-perl_3.16-4 libhtml-tree-perl_5.07-3 libhttp-cookies-perl_6.11-1 libhttp-date-perl_6.06-1 libhttp-message-perl_7.00-2 libhttp-negotiate-perl_6.01-2 libhwasan0_14.2.0-8 libicu72_72.1-5+b1 libidn2-0_2.3.7-2+b1 libimport-into-perl_1.002005-2 libio-html-perl_1.004-3 libio-interactive-perl_1.025-1 libio-socket-ssl-perl_2.089-1 libio-string-perl_1.08-4 libipc-run3-perl_0.049-1 libipc-system-simple-perl_1.30-2 libisl23_0.27-1 libiterator-perl_0.03+ds1-2 libiterator-util-perl_0.02+ds1-2 libitm1_14.2.0-8 libjansson4_2.14-2+b3 libjs-mathjax_2.7.9+dfsg-1 libjson-maybexs-perl_1.004008-1 libk5crypto3_1.21.3-3 libkeyutils1_1.6.3-4 libkrb5-3_1.21.3-3 libkrb5support0_1.21.3-3 libldap-2.5-0_2.5.18+dfsg-3+b1 liblist-compare-perl_0.55-2 liblist-someutils-perl_0.59-1 liblist-utilsby-perl_0.12-2 liblsan0_14.2.0-8 liblwp-mediatypes-perl_6.04-2 liblwp-protocol-https-perl_6.14-1 liblz1_1.15~pre2-1 liblz4-1_1.9.4-3+b1 liblzma5_5.6.3-1+b1 liblzo2-2_2.10-3+b1 libmagic-mgc_1:5.45-3+b1 libmagic1t64_1:5.45-3+b1 libmarkdown2_2.2.7-2.1 libmd-dev_1.1.0-2+b1 libmd0_1.1.0-2+b1 libmldbm-perl_2.05-4 libmodule-implementation-perl_0.09-2 libmodule-runtime-perl_0.016-2 libmoo-perl_2.005005-1 libmoox-aliases-perl_0.001006-2 libmount1_2.40.2-11 libmouse-perl_2.5.11-1+b1 libmpc3_1.3.1-1+b3 libmpfr6_4.2.1-1+b2 libnamespace-clean-perl_0.27-2 libncurses-dev_6.5-2+b1 libncurses6_6.5-2+b1 libncursesw6_6.5-2+b1 libnet-domain-tld-perl_1.75-4 libnet-http-perl_6.23-1 libnet-ipv6addr-perl_1.02-1 libnet-netmask-perl_2.0002-2 libnet-ssleay-perl_1.94-2 libnetaddr-ip-perl_4.079+dfsg-2+b4 libnettle8t64_3.10-1+b1 libnghttp2-14_1.64.0-1 libnghttp3-9_1.4.0-1+b1 libngtcp2-16_1.6.0-1 libngtcp2-crypto-gnutls8_1.6.0-1 libnsl2_1.3.0-3+b3 libnuma-dev_2.0.18-1+b1 libnuma1_2.0.18-1+b1 libnumber-compare-perl_0.03-3 libp11-kit0_0.25.5-2+b1 libpackage-stash-perl_0.40-1 libpam-modules_1.5.3-7+b1 libpam-modules-bin_1.5.3-7+b1 libpam-runtime_1.5.3-7 libpam0g_1.5.3-7+b1 libparams-classify-perl_0.015-2+b4 libparams-util-perl_1.102-3+b1 libpath-tiny-perl_0.146-1 libpcre2-8-0_10.44-4 libperl5.40_5.40.0-7 libperlio-gzip-perl_0.20-1+b4 libperlio-utf8-strict-perl_0.010-1+b3 libpipeline1_1.5.8-1 libproc-processtable-perl_0.636-1+b3 libpsl5t64_0.21.2-1.1+b1 libpython3-stdlib_3.12.7-1 libpython3.12-minimal_3.12.7-3 libpython3.12-stdlib_3.12.7-3 libreadline8t64_8.2-5 libregexp-wildcards-perl_1.05-3 librole-tiny-perl_2.002004-1 librtmp1_2.4+20151223.gitfa8646d.1-2+b5 libsasl2-2_2.1.28+dfsg1-8 libsasl2-modules-db_2.1.28+dfsg1-8 libseccomp2_2.5.5-1+b3 libselinux1_3.7-3+b1 libsemanage-common_3.7-2 libsemanage2_3.7-2+b1 libsepol2_3.7-1 libsereal-decoder-perl_5.004+ds-1+b3 libsereal-encoder-perl_5.004+ds-1+b3 libsframe1_2.43.1-5 libsmartcols1_2.40.2-11 libsort-versions-perl_1.62-3 libsqlite3-0_3.46.1-1 libss2_1.47.1-1+b1 libssh2-1t64_1.11.1-1 libssl3t64_3.3.2-2 libstdc++-14-dev_14.2.0-8 libstdc++6_14.2.0-8 libstemmer0d_2.2.0-4+b2 libstrictures-perl_2.000006-1 libsub-exporter-perl_0.990-1 libsub-exporter-progressive-perl_0.001013-3 libsub-identify-perl_0.14-3+b3 libsub-install-perl_0.929-1 libsub-name-perl_0.27-1+b3 libsub-quote-perl_2.006008-1 libsyntax-keyword-try-perl_0.30-1+b1 libsystemd0_257~rc1-4 libtasn1-6_4.19.0-3+b3 libterm-readkey-perl_2.38-2+b4 libtext-glob-perl_0.11-3 libtext-levenshteinxs-perl_0.03-5+b4 libtext-markdown-discount-perl_0.16-1+b3 libtext-xslate-perl_3.5.9-2+b1 libtime-duration-perl_1.21-2 libtime-moment-perl_0.44-2+b4 libtimedate-perl_2.3300-2 libtinfo6_6.5-2+b1 libtirpc-common_1.3.4+ds-1.3 libtirpc3t64_1.3.4+ds-1.3+b1 libtool_2.4.7-8 libtry-tiny-perl_0.32-1 libtsan2_14.2.0-8 libubsan1_14.2.0-8 libuchardet0_0.0.8-1+b2 libudev1_257~rc1-4 libunicode-utf8-perl_0.62-2+b3 libunistring5_1.2-1+b1 liburi-perl_5.30-1 libuuid1_2.40.2-11 libvariable-magic-perl_0.64-1+b1 libwww-mechanize-perl_2.19-1 libwww-perl_6.77-1 libwww-robotrules-perl_6.02-1 libxml-libxml-perl_2.0207+dfsg+really+2.0134-5+b1 libxml-namespacesupport-perl_1.12-2 libxml-sax-base-perl_1.09-3 libxml-sax-perl_1.02+dfsg-3 libxml2_2.12.7+dfsg+really2.9.14-0.2+b1 libxmlb2_0.3.21-1 libxs-parse-keyword-perl_0.46-1+b1 libxxhash0_0.8.2-2+b2 libyaml-0-2_0.2.5-1+b2 libyaml-libyaml-perl_0.902.0+ds-2+b1 libzstd1_1.5.6+dfsg-1+b1 lintian_2.120.0 linux-libc-dev_6.11.7-1 login_1:4.16.0-2+really2.40.2-11 login.defs_1:4.16.0-5 logsave_1.47.1-1+b1 lzop_1.04-2+b1 m4_1.4.19-4 make_4.3-4.1+b1 man-db_2.13.0-1 mawk_1.3.4.20240905-1 media-types_10.1.0 mount_2.40.2-11 ncurses-base_6.5-2 ncurses-bin_6.5-2+b1 netbase_6.4 openssl_3.3.2-2 openssl-provider-legacy_3.3.2-2 passwd_1:4.16.0-5 patch_2.7.6-7+b1 patchutils_0.4.2-1+b1 perl_5.40.0-7 perl-base_5.40.0-7 perl-modules-5.40_5.40.0-7 perl-openssl-defaults_7+b2 plzip_1.11-2 po-debconf_1.0.21+nmu1 python3_3.12.7-1 python3-minimal_3.12.7-1 python3-scour_0.38.2-5 python3.12_3.12.7-3 python3.12-minimal_3.12.7-3 readline-common_8.2-5 rpcsvc-proto_1.4.3-1+b1 sbuild-build-depends-main-dummy_0.invalid.0 scour_0.38.2-5 sed_4.9-2+b1 sensible-utils_0.0.24 shared-mime-info_2.4-5+b1 sysvinit-utils_3.11-1 t1utils_1.41-4+b1 tar_1.35+dfsg-3+b1 tzdata_2024b-3 ucf_3.0043+nmu1 unzip_6.0-28+b1 util-linux_2.40.2-11 xz-utils_5.6.3-1+b1 zlib1g_1:1.3.dfsg+really1.3.1-1+b1
+------------------------------------------------------------------------------+
| Build |
+------------------------------------------------------------------------------+
Unpack source
-------------
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA512
Format: 3.0 (quilt)
Source: haskell-clash-prelude
Binary: libghc-clash-prelude-dev, libghc-clash-prelude-prof, libghc-clash-prelude-doc
Architecture: any all
Version: 1.8.1-2
Maintainer: Debian Haskell Group <pkg-haskell-maintainers@lists.alioth.debian.org>
Uploaders: Scott Talbert <swt@techie.net>
Homepage: https://clash-lang.org/
Standards-Version: 4.7.0
Vcs-Browser: https://salsa.debian.org/haskell-team/DHG_packages/tree/master/p/haskell-clash-prelude
Vcs-Git: https://salsa.debian.org/haskell-team/DHG_packages.git [p/haskell-clash-prelude]
Build-Depends: debhelper (>= 10), haskell-devscripts-minimal | haskell-devscripts (>= 0.13), cdbs, ghc (>= 9.6), ghc-prof, libghc-quickcheck2-dev (>= 2.7), libghc-quickcheck2-dev (<< 2.15), libghc-quickcheck2-prof, libghc-arrows-dev (>= 0.4), libghc-arrows-dev (<< 0.5), libghc-arrows-prof, libghc-constraints-dev (>= 0.9), libghc-constraints-dev (<< 1.0), libghc-constraints-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-class-dev (>= 0.1.2), libghc-data-default-class-dev (<< 0.2), libghc-data-default-class-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-ghc-typelits-extra-dev (>= 0.4), libghc-ghc-typelits-extra-dev (<< 0.5), libghc-ghc-typelits-extra-prof, libghc-ghc-typelits-knownnat-dev (>= 0.7.2), libghc-ghc-typelits-knownnat-dev (<< 0.8), libghc-ghc-typelits-knownnat-prof, libghc-ghc-typelits-natnormalise-dev (>= 0.7.2), libghc-ghc-typelits-natnormalise-dev (<< 0.8), libghc-ghc-typelits-natnormalise-prof, libghc-half-dev (>= 0.2.2.3), libghc-half-dev (<< 1.0), libghc-half-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-infinite-list-dev (>= 0.1), libghc-infinite-list-dev (<< 0.2), libghc-infinite-list-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.3), libghc-lens-prof, libghc-recursion-schemes-dev (>= 5.1), libghc-recursion-schemes-dev (<< 5.3), libghc-recursion-schemes-prof, libghc-reflection-dev (>= 2), libghc-reflection-dev (<< 2.2), libghc-reflection-prof, libghc-singletons-dev (>= 2.0), libghc-singletons-dev (<< 3.1), libghc-singletons-prof, libghc-string-interpolate-dev (>= 0.3), libghc-string-interpolate-dev (<< 0.4), libghc-string-interpolate-prof, libghc-th-abstraction-dev (>= 0.2.10), libghc-th-abstraction-dev (<< 0.7.0), libghc-th-abstraction-prof, libghc-th-lift-dev (>= 0.7.0), libghc-th-lift-dev (<< 0.9), libghc-th-lift-prof, libghc-th-orphans-dev (>= 0.13.1), libghc-th-orphans-dev (<< 1.0), libghc-th-orphans-prof, libghc-type-errors-dev (>= 0.2.0.0), libghc-type-errors-dev (<< 0.3), libghc-type-errors-prof, libghc-uniplate-dev (>= 1.6.12), libghc-uniplate-dev (<< 1.7), libghc-uniplate-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-doctest-parallel-dev (>= 0.2), libghc-doctest-parallel-dev (<< 0.4), libghc-doctest-parallel-prof, libghc-hedgehog-dev (>= 1.0.3), libghc-hedgehog-dev (<< 1.5), libghc-hedgehog-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-quickcheck-classes-base-dev (>= 0.6), libghc-quickcheck-classes-base-dev (<< 1.0), libghc-quickcheck-classes-base-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.6), libghc-tasty-prof, libghc-tasty-hedgehog-dev (>= 1.2.0), libghc-tasty-hedgehog-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-tasty-th-dev, libghc-tasty-th-prof
Build-Depends-Indep: ghc-doc, libghc-quickcheck2-doc, libghc-arrows-doc, libghc-constraints-doc, libghc-data-binary-ieee754-doc, libghc-data-default-class-doc, libghc-extra-doc, libghc-ghc-typelits-extra-doc, libghc-ghc-typelits-knownnat-doc, libghc-ghc-typelits-natnormalise-doc, libghc-half-doc, libghc-hashable-doc, libghc-infinite-list-doc, libghc-lens-doc, libghc-recursion-schemes-doc, libghc-reflection-doc, libghc-singletons-doc, libghc-string-interpolate-doc, libghc-th-abstraction-doc, libghc-th-lift-doc, libghc-th-orphans-doc, libghc-type-errors-doc, libghc-uniplate-doc, libghc-vector-doc
Package-List:
libghc-clash-prelude-dev deb haskell optional arch=any
libghc-clash-prelude-doc deb doc optional arch=all
libghc-clash-prelude-prof deb haskell optional arch=any
Checksums-Sha1:
66465c93d6f1cd050170ed6fdca7fa6a52806511 401219 haskell-clash-prelude_1.8.1.orig.tar.gz
ac5407912526ac876452977cc821e5e9085676b3 9192 haskell-clash-prelude_1.8.1-2.debian.tar.xz
Checksums-Sha256:
7e2cdb7c95e70db6a7247af1bce9c70d2755efcdd99b4e40ae5796c2351dc48f 401219 haskell-clash-prelude_1.8.1.orig.tar.gz
144fa0e88b6dbe2ca5e1016ca188c2d1bfe2926e45e3df1f5bbf089ef99843b9 9192 haskell-clash-prelude_1.8.1-2.debian.tar.xz
Files:
8e8831b92e73f4e0921bed8223a9da0a 401219 haskell-clash-prelude_1.8.1.orig.tar.gz
f77c4b213bd2621d08f6eaa711057004 9192 haskell-clash-prelude_1.8.1-2.debian.tar.xz
-----BEGIN PGP SIGNATURE-----
iQJDBAEBCgAtFiEEbnQ09Yl9Q7F/zVe3U9W8ZLUjeKIFAmcEiKsPHHN3dEB0ZWNo
aWUubmV0AAoJEFPVvGS1I3iigtcQALo1az5Xrz4fK68LjMRruFUptKOxeZJBjFvS
CXtw5BnHoYzP8g6wMNBN77PfNSi4zUIjztFm7Kfh1PlliwbYYaQXomJEjS2kIq0G
NAy/eOAkIg6D+dKg/T2D6CDbeK8JNU6ChTA8SA6qlC2U/JD+iNnIxuaUB/C5eJgt
7IOQcN5mLBO/N92Z8U4noxkuh8WFDGe7UtefD0xBiGHN3SG+2up1jbHRVX4hroNZ
PvccZAaGUat8Ox1cwog9ilp+kjAC9W5lYCPWPkyBD9BMFY1mqRhiKsemPgG4/fVE
w1q0IbNzcGnKS+kc6gkJ1GjKiPsDhEHzHXhIIAnbGtR4WirkbJ6uUnbgiPojbxYg
pC89g2Xvr5MUAAsJ4V+daX1S0CgC7Xto9kzblew7Alp6U3HvgWkBF1LxiAPSMSOX
f2x+vrOx3kIrwTJ1UAE0234lM4ZH3sO1BdZMDpA45LcEcKe5ZIrH1u50/T81uAzE
7IqZNviClaQAdRos2YwWgt3b2Y1kYJuhOs+m0axyN7bFMNX7dGmJgmD4AXAxfk3u
a5VMAN1B8wcipqcdrLg9n0RukzTAmxf3AAh4KsiB5ZYLOK2MmbOAw3NFNxXK5t02
3BWpe7wk1/jXsaj0Rn247sGnrKczK3120jDUfJpRRKSwDgQRZ8kcu3QVBak61HIb
+H0izk/P
=Mbt+
-----END PGP SIGNATURE-----
gpgv: Signature made Tue Oct 8 01:19:39 2024 UTC
gpgv: using RSA key 6E7434F5897D43B17FCD57B753D5BC64B52378A2
gpgv: issuer "swt@techie.net"
gpgv: Can't check signature: No public key
dpkg-source: warning: cannot verify inline signature for ./haskell-clash-prelude_1.8.1-2.dsc: no acceptable signature found
dpkg-source: info: extracting haskell-clash-prelude in /<<PKGBUILDDIR>>
dpkg-source: info: unpacking haskell-clash-prelude_1.8.1.orig.tar.gz
dpkg-source: info: unpacking haskell-clash-prelude_1.8.1-2.debian.tar.xz
dpkg-source: info: using patch list from debian/patches/series
dpkg-source: info: applying disable-doctests
dpkg-source: info: applying no-rts-n
Check disk space
----------------
Sufficient free space for build
User Environment
----------------
APT_CONFIG=/var/lib/sbuild/apt.conf
HOME=/sbuild-nonexistent
LANG=en_US.UTF-8
LC_ALL=C.UTF-8
LOGNAME=debusine-worker
PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games
SHELL=/bin/sh
USER=debusine-worker
dpkg-buildpackage
-----------------
Command: dpkg-buildpackage -us -uc -b -rfakeroot
dpkg-buildpackage: info: source package haskell-clash-prelude
dpkg-buildpackage: info: source version 1.8.1-2
dpkg-buildpackage: info: source distribution unstable
dpkg-buildpackage: info: source changed by Scott Talbert <swt@techie.net>
dpkg-source --before-build .
dpkg-buildpackage: info: host architecture arm64
debian/rules clean
test -x debian/rules
dh_clean
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'clean_recipe'
Running rm -rf dist dist-ghc dist-ghcjs dist-hugs debian/tmp-setup-hs
Running rm -f debian/hlibrary.setup
Running rm -f Setup.hi Setup.ho Setup.o
Running rm -f
rm -f configure-ghc-stamp
rm -f build-ghc-stamp build-hugs-stamp build-haddock-stamp
rm -f check-ghc-stamp
rm -f debian/tmp
rm -rf debian/tmp-inst-ghc debian/tmp-inst-ghcjs
rm -rf debian/tmp-db
rm -f debian/hlibrary.Makefile
debian/rules binary
test -x debian/rules
dh_testroot
dh_prep
dh_installdirs -A
mkdir -p "."
CDBS WARNING: DEB_DH_STRIP_ARGS is deprecated since 0.4.85
CDBS WARNING: DEB_COMPRESS_EXCLUDE is deprecated since 0.4.85
Adding cdbs dependencies to debian/libghc-clash-prelude-doc.substvars
dh_installdirs -plibghc-clash-prelude-doc \
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'make_setup_recipe'
Running ghc --make /usr/share/haskell-devscripts/Setup-Simple.hs -o debian/hlibrary.setup -outputdir debian/tmp-setup-hs
[1 of 2] Compiling Main ( /usr/share/haskell-devscripts/Setup-Simple.hs, debian/tmp-setup-hs/Main.o )
[2 of 2] Linking debian/hlibrary.setup
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'configure_recipe'
Running find . ! -newer /tmp/ZtZibV1DAA -exec touch -d 1998-01-01 UTC {} ;
Running dh_listpackages
libghc-clash-prelude-dev
libghc-clash-prelude-prof
libghc-clash-prelude-doc
Running dh_listpackages
libghc-clash-prelude-dev
libghc-clash-prelude-prof
libghc-clash-prelude-doc
Running dpkg-buildflags --get LDFLAGS
-Wl,-z,relro
Running debian/hlibrary.setup configure --ghc -v2 --package-db=/var/lib/ghc/package.conf.d --prefix=/usr --libdir=/usr/lib/haskell-packages/ghc/lib --libexecdir=/usr/lib --builddir=dist-ghc --ghc-option=-optl-Wl,-z,relro --haddockdir=/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/ --datasubdir=clash-prelude --htmldir=/usr/share/doc/libghc-clash-prelude-doc/html/ --enable-library-profiling --enable-tests
Using Parsec parser
Configuring clash-prelude-1.8.1...
Flags chosen: benchmarks=True, doctests=False, large-tuples=False,
multiple-hidden=False, strict-mapsignal=False, super-strict=False,
unittests=True, workaround-ghc-mmap-crash=False
Dependency QuickCheck >=2.7 && <2.15: using QuickCheck-2.14.3
Dependency array >=0.5.1.0 && <0.6: using array-0.5.6.0
Dependency arrows >=0.4 && <0.5: using arrows-0.4.4.2
Dependency base >=4.11 && <5: using base-4.18.2.1
Dependency binary >=0.8.5 && <0.11: using binary-0.8.9.1
Dependency bytestring >=0.10.8 && <0.13: using bytestring-0.11.5.3
Dependency constraints >=0.9 && <1.0: using constraints-0.14.2
Dependency containers >=0.4.0 && <0.7: using containers-0.6.7
Dependency data-binary-ieee754 >=0.4.4 && <0.6: using
data-binary-ieee754-0.4.4
Dependency data-default-class >=0.1.2 && <0.2: using
data-default-class-0.1.2.2
Dependency deepseq >=1.4.1.0 && <1.6: using deepseq-1.4.8.1
Dependency extra >=1.6.17 && <1.8: using extra-1.7.16
Dependency ghc-bignum >=1.0 && <1.4: using ghc-bignum-1.3
Dependency ghc-prim >=0.5.1.0 && <0.12: using ghc-prim-0.10.0
Dependency ghc-typelits-extra >=0.4 && <0.5: using ghc-typelits-extra-0.4.7
Dependency ghc-typelits-knownnat >=0.7.2 && <0.8: using
ghc-typelits-knownnat-0.7.12
Dependency ghc-typelits-natnormalise >=0.7.2 && <0.8: using
ghc-typelits-natnormalise-0.7.10
Dependency half >=0.2.2.3 && <1.0: using half-0.3.1
Dependency hashable >=1.2.1.0 && <1.5: using hashable-1.4.4.0
Dependency infinite-list >=0.1 && <0.2: using infinite-list-0.1.1
Dependency lens >=4.10 && <5.3: using lens-5.2.3
Dependency mtl >=2.0 && <3.0: using mtl-2.3.1
Dependency recursion-schemes >=5.1 && <5.3: using recursion-schemes-5.2.3
Dependency reflection >=2 && <2.2: using reflection-2.1.8
Dependency singletons >=2.0 && <3.1: using singletons-3.0.3
Dependency string-interpolate >=0.3 && <0.4: using string-interpolate-0.3.4.0
Dependency template-haskell >=2.12.0.0 && <2.22: using
template-haskell-2.20.0.0
Dependency text >=0.11.3.1 && <2.2: using text-2.0.2
Dependency th-abstraction >=0.2.10 && <0.7.0: using th-abstraction-0.5.0.0
Dependency th-lift >=0.7.0 && <0.9: using th-lift-0.8.4
Dependency th-orphans >=0.13.1 && <1.0: using th-orphans-0.13.14
Dependency time >=1.8 && <1.14: using time-1.12.2
Dependency transformers >=0.5.2.0 && <0.7: using transformers-0.6.1.0
Dependency type-errors >=0.2.0.0 && <0.3: using type-errors-0.2.0.2
Dependency uniplate >=1.6.12 && <1.7: using uniplate-1.6.13
Dependency vector >=0.11 && <1.0: using vector-0.13.1.0
Dependency base: using base-4.18.2.1
Dependency bytestring: using bytestring-0.11.5.3
Dependency clash-prelude: using clash-prelude-1.8.1
Dependency deepseq: using deepseq-1.4.8.1
Dependency ghc-typelits-extra: using ghc-typelits-extra-0.4.7
Dependency ghc-typelits-knownnat: using ghc-typelits-knownnat-0.7.12
Dependency ghc-typelits-natnormalise: using ghc-typelits-natnormalise-0.7.10
Dependency hedgehog >=1.0.3 && <1.5: using hedgehog-1.4
Dependency hint >=0.7 && <0.10: using hint-0.9.0.8
Dependency quickcheck-classes-base >=0.6 && <1.0: using
quickcheck-classes-base-0.6.2.0
Dependency tasty >=1.2 && <1.6: using tasty-1.4.3
Dependency tasty-hedgehog >=1.2.0: using tasty-hedgehog-1.4.0.2
Dependency tasty-hunit: using tasty-hunit-0.10.2
Dependency tasty-quickcheck: using tasty-quickcheck-0.10.2
Dependency tasty-th: using tasty-th-0.1.7
Dependency template-haskell: using template-haskell-2.20.0.0
Source component graph:
component lib
component test:unittests dependency lib
Configured component graph:
component clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
include QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew
include array-0.5.6.0
include arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15
include base-4.18.2.1
include binary-0.8.9.1
include bytestring-0.11.5.3
include constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0
include containers-0.6.7
include data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK
include data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7
include deepseq-1.4.8.1
include extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7
include ghc-bignum-1.3
include ghc-prim-0.10.0
include ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
include ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
include ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
include half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb
include hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ
include infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT
include lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC
include mtl-2.3.1
include recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw
include reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU
include singletons-3.0.3-3ANXyFmyli45G5VssrZrXX
include string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3
include template-haskell-2.20.0.0
include text-2.0.2
include th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH
include th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou
include th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3
include time-1.12.2
include transformers-0.6.1.0
include type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1
include uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx
include vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
component clash-prelude-1.8.1-5vbEgacNccVJ3Ro3jZD6Vw-unittests
include base-4.18.2.1
include bytestring-0.11.5.3
include clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
include deepseq-1.4.8.1
include ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
include ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
include ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
include hedgehog-1.4-5jLeuRJnUn35WrUxWQG8OS
include hint-0.9.0.8-5SpT4i6i2Ig2PMQzExQdgB
include quickcheck-classes-base-0.6.2.0-3gh2h1QLOSO7LzckZXqAmI
include tasty-1.4.3-DjIfIDR22Q9BNTJumlm9d
include tasty-hedgehog-1.4.0.2-9pHt5HYY6cC2M6q9gYMg0m
include tasty-hunit-0.10.2-5JeOukpggCxmW3MfPokrE
include tasty-quickcheck-0.10.2-6OeG3255EPd8u3vF5G7ss7
include tasty-th-0.1.7-AXbglQEcPfW2jNv6mk5XQg
include template-haskell-2.20.0.0
Linked component graph:
unit clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
include QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew
include array-0.5.6.0
include arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15
include base-4.18.2.1
include binary-0.8.9.1
include bytestring-0.11.5.3
include constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0
include containers-0.6.7
include data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK
include data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7
include deepseq-1.4.8.1
include extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7
include ghc-bignum-1.3
include ghc-prim-0.10.0
include ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
include ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
include ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
include half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb
include hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ
include infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT
include lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC
include mtl-2.3.1
include recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw
include reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU
include singletons-3.0.3-3ANXyFmyli45G5VssrZrXX
include string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3
include template-haskell-2.20.0.0
include text-2.0.2
include th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH
include th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou
include th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3
include time-1.12.2
include transformers-0.6.1.0
include type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1
include uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx
include vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
Clash.Annotations.BitRepresentation=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.BitRepresentation,Clash.Annotations.BitRepresentation.Deriving=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.BitRepresentation.Deriving,Clash.Annotations.BitRepresentation.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.BitRepresentation.Internal,Clash.Annotations.BitRepresentation.Util=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.BitRepresentation.Util,Clash.Annotations.Primitive=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.Primitive,Clash.Annotations.SynthesisAttributes=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.SynthesisAttributes,Clash.Annotations.TH=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.TH,Clash.Annotations.TopEntity=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Annotations.TopEntity,Clash.Class.AutoReg=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.AutoReg,Clash.Class.AutoReg.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.AutoReg.Internal,Clash.Class.BitPack=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.BitPack,Clash.Class.BitPack.BitIndex=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.BitPack.BitIndex,Clash.Class.BitPack.BitReduction=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.BitPack.BitReduction,Clash.Class.BitPack.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.BitPack.Internal,Clash.Class.BitPack.Internal.TH=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.BitPack.Internal.TH,Clash.Class.Counter=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Counter,Clash.Class.Counter.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Counter.Internal,Clash.Class.Counter.TH=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Counter.TH,Clash.Class.Exp=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Exp,Clash.Class.HasDomain=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.HasDomain,Clash.Class.HasDomain.CodeGen=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.HasDomain.CodeGen,Clash.Class.HasDomain.Common=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.HasDomain.Common,Clash.Class.HasDomain.HasSingleDomain=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.HasDomain.HasSingleDomain,Clash.Class.HasDomain.HasSpecificDomain=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.HasDomain.HasSpecificDomain,Clash.Class.Num=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Num,Clash.Class.Parity=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Parity,Clash.Class.Resize=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Class.Resize,Clash.Clocks=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Clocks,Clash.Examples=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Examples,Clash.Examples.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Examples.Internal,Clash.Explicit.BlockRam=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.BlockRam,Clash.Explicit.BlockRam.Blob=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.BlockRam.Blob,Clash.Explicit.BlockRam.File=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.BlockRam.File,Clash.Explicit.BlockRam.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.BlockRam.Internal,Clash.Explicit.BlockRam.Model=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.BlockRam.Model,Clash.Explicit.DDR=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.DDR,Clash.Explicit.Mealy=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Mealy,Clash.Explicit.Moore=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Moore,Clash.Explicit.Prelude=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Prelude,Clash.Explicit.Prelude.Safe=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Prelude.Safe,Clash.Explicit.RAM=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.RAM,Clash.Explicit.ROM=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.ROM,Clash.Explicit.ROM.Blob=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.ROM.Blob,Clash.Explicit.ROM.File=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.ROM.File,Clash.Explicit.Reset=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Reset,Clash.Explicit.Signal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Signal,Clash.Explicit.Signal.Delayed=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Signal.Delayed,Clash.Explicit.SimIO=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.SimIO,Clash.Explicit.Synchronizer=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Synchronizer,Clash.Explicit.Testbench=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Testbench,Clash.Explicit.Verification=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Explicit.Verification,Clash.HaskellPrelude=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.HaskellPrelude,Clash.Hidden=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Hidden,Clash.Intel.ClockGen=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Intel.ClockGen,Clash.Intel.DDR=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Intel.DDR,Clash.Magic=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Magic,Clash.NamedTypes=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.NamedTypes,Clash.Num.Erroring=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Num.Erroring,Clash.Num.Overflowing=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Num.Overflowing,Clash.Num.Saturating=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Num.Saturating,Clash.Num.Wrapping=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Num.Wrapping,Clash.Num.Zeroing=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Num.Zeroing,Clash.Prelude=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude,Clash.Prelude.BlockRam=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.BlockRam,Clash.Prelude.BlockRam.Blob=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.BlockRam.Blob,Clash.Prelude.BlockRam.File=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.BlockRam.File,Clash.Prelude.DataFlow=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.DataFlow,Clash.Prelude.Mealy=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.Mealy,Clash.Prelude.Moore=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.Moore,Clash.Prelude.RAM=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.RAM,Clash.Prelude.ROM=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.ROM,Clash.Prelude.ROM.Blob=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.ROM.Blob,Clash.Prelude.ROM.File=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.ROM.File,Clash.Prelude.Safe=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.Safe,Clash.Prelude.Testbench=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Prelude.Testbench,Clash.Promoted.Nat=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Promoted.Nat,Clash.Promoted.Nat.Literals=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Promoted.Nat.Literals,Clash.Promoted.Nat.TH=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Promoted.Nat.TH,Clash.Promoted.Nat.Unsafe=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Promoted.Nat.Unsafe,Clash.Promoted.Symbol=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Promoted.Symbol,Clash.Signal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal,Clash.Signal.BiSignal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.BiSignal,Clash.Signal.Bundle=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Bundle,Clash.Signal.Delayed=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Delayed,Clash.Signal.Delayed.Bundle=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Delayed.Bundle,Clash.Signal.Delayed.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Delayed.Internal,Clash.Signal.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Internal,Clash.Signal.Internal.Ambiguous=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Internal.Ambiguous,Clash.Signal.Trace=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Signal.Trace,Clash.Sized.BitVector=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.BitVector,Clash.Sized.Fixed=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Fixed,Clash.Sized.Index=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Index,Clash.Sized.Internal.BitVector=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Internal.BitVector,Clash.Sized.Internal.Index=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Internal.Index,Clash.Sized.Internal.Mod=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Internal.Mod,Clash.Sized.Internal.Signed=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Internal.Signed,Clash.Sized.Internal.Unsigned=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Internal.Unsigned,Clash.Sized.RTree=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.RTree,Clash.Sized.Signed=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Signed,Clash.Sized.Unsigned=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Unsigned,Clash.Sized.Vector=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Sized.Vector,Clash.Tutorial=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Tutorial,Clash.Verification=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Verification,Clash.Verification.DSL=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Verification.DSL,Clash.Verification.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Verification.Internal,Clash.XException=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.XException,Clash.XException.Internal=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.XException.Internal,Clash.XException.MaybeX=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.XException.MaybeX,Clash.XException.TH=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.XException.TH,Clash.Xilinx.ClockGen=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Xilinx.ClockGen,Clash.Xilinx.DDR=clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A:Clash.Xilinx.DDR
unit clash-prelude-1.8.1-5vbEgacNccVJ3Ro3jZD6Vw-unittests
include base-4.18.2.1
include bytestring-0.11.5.3
include clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
include deepseq-1.4.8.1
include ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
include ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
include ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
include hedgehog-1.4-5jLeuRJnUn35WrUxWQG8OS
include hint-0.9.0.8-5SpT4i6i2Ig2PMQzExQdgB
include quickcheck-classes-base-0.6.2.0-3gh2h1QLOSO7LzckZXqAmI
include tasty-1.4.3-DjIfIDR22Q9BNTJumlm9d
include tasty-hedgehog-1.4.0.2-9pHt5HYY6cC2M6q9gYMg0m
include tasty-hunit-0.10.2-5JeOukpggCxmW3MfPokrE
include tasty-quickcheck-0.10.2-6OeG3255EPd8u3vF5G7ss7
include tasty-th-0.1.7-AXbglQEcPfW2jNv6mk5XQg
include template-haskell-2.20.0.0
Ready component graph:
definite clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
depends QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew
depends array-0.5.6.0
depends arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15
depends base-4.18.2.1
depends binary-0.8.9.1
depends bytestring-0.11.5.3
depends constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0
depends containers-0.6.7
depends data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK
depends data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7
depends deepseq-1.4.8.1
depends extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7
depends ghc-bignum-1.3
depends ghc-prim-0.10.0
depends ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
depends ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
depends ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
depends half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb
depends hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ
depends infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT
depends lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC
depends mtl-2.3.1
depends recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw
depends reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU
depends singletons-3.0.3-3ANXyFmyli45G5VssrZrXX
depends string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3
depends template-haskell-2.20.0.0
depends text-2.0.2
depends th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH
depends th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou
depends th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3
depends time-1.12.2
depends transformers-0.6.1.0
depends type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1
depends uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx
depends vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
definite clash-prelude-1.8.1-5vbEgacNccVJ3Ro3jZD6Vw-unittests
depends base-4.18.2.1
depends bytestring-0.11.5.3
depends clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
depends deepseq-1.4.8.1
depends ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
depends ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
depends ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
depends hedgehog-1.4-5jLeuRJnUn35WrUxWQG8OS
depends hint-0.9.0.8-5SpT4i6i2Ig2PMQzExQdgB
depends quickcheck-classes-base-0.6.2.0-3gh2h1QLOSO7LzckZXqAmI
depends tasty-1.4.3-DjIfIDR22Q9BNTJumlm9d
depends tasty-hedgehog-1.4.0.2-9pHt5HYY6cC2M6q9gYMg0m
depends tasty-hunit-0.10.2-5JeOukpggCxmW3MfPokrE
depends tasty-quickcheck-0.10.2-6OeG3255EPd8u3vF5G7ss7
depends tasty-th-0.1.7-AXbglQEcPfW2jNv6mk5XQg
depends template-haskell-2.20.0.0
Using Cabal-3.10.3.0 compiled by ghc-9.6
Using compiler: ghc-9.6.6
Using install prefix: /usr
Executables installed in: /usr/bin
Libraries installed in:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
Dynamic Libraries installed in:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6
Private executables installed in:
/usr/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1
Data files installed in: /usr/share/clash-prelude
Documentation installed in:
/usr/share/doc/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1
Configuration files installed in: /usr/etc
No alex found
Using ar found on system at: /usr/bin/aarch64-linux-gnu-ar
No c2hs found
No cpphs found
No doctest found
Using gcc version 14 found on system at: /usr/bin/aarch64-linux-gnu-gcc
Using ghc version 9.6.6 found on system at: /usr/bin/ghc
Using ghc-pkg version 9.6.6 found on system at: /usr/bin/ghc-pkg-9.6.6
No ghcjs found
No ghcjs-pkg found
No greencard found
Using haddock version 2.29.2 found on system at: /usr/bin/haddock-ghc-9.6.6
No happy found
Using haskell-suite found on system at: haskell-suite-dummy-location
Using haskell-suite-pkg found on system at: haskell-suite-pkg-dummy-location
No hmake found
Using hpc version 0.68 found on system at: /usr/bin/hpc-ghc-9.6.6
Using hsc2hs version 0.68.9 found on system at: /usr/bin/hsc2hs-ghc-9.6.6
No hscolour found
No jhc found
Using ld found on system at: /usr/bin/aarch64-linux-gnu-ld
No pkg-config found
Using runghc version 9.6.6 found on system at: /usr/bin/runghc-9.6.6
Using strip version 2.43 found on system at: /usr/bin/strip
Using tar found on system at: /usr/bin/tar
No uhc found
touch configure-ghc-stamp
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'build_recipe'
Running dh_listpackages
libghc-clash-prelude-dev
libghc-clash-prelude-prof
libghc-clash-prelude-doc
Preprocessing library for clash-prelude-1.8.1..
Building library for clash-prelude-1.8.1..
[ 1 of 121] Compiling Clash.Annotations.BitRepresentation ( src/Clash/Annotations/BitRepresentation.hs, dist-ghc/build/Clash/Annotations/BitRepresentation.o, dist-ghc/build/Clash/Annotations/BitRepresentation.dyn_o )
[ 2 of 121] Compiling Clash.Annotations.BitRepresentation.Internal ( src/Clash/Annotations/BitRepresentation/Internal.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Internal.o, dist-ghc/build/Clash/Annotations/BitRepresentation/Internal.dyn_o )
[ 3 of 121] Compiling Clash.Annotations.BitRepresentation.Util ( src/Clash/Annotations/BitRepresentation/Util.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Util.o, dist-ghc/build/Clash/Annotations/BitRepresentation/Util.dyn_o )
[ 4 of 121] Compiling Clash.Annotations.Primitive ( src/Clash/Annotations/Primitive.hs, dist-ghc/build/Clash/Annotations/Primitive.o, dist-ghc/build/Clash/Annotations/Primitive.dyn_o )
[ 5 of 121] Compiling Clash.CPP ( src/Clash/CPP.hs, dist-ghc/build/Clash/CPP.o, dist-ghc/build/Clash/CPP.dyn_o )
[ 6 of 121] Compiling Clash.Class.Counter.TH ( src/Clash/Class/Counter/TH.hs, dist-ghc/build/Clash/Class/Counter/TH.o, dist-ghc/build/Clash/Class/Counter/TH.dyn_o )
[ 7 of 121] Compiling Clash.Class.HasDomain.Common ( src/Clash/Class/HasDomain/Common.hs, dist-ghc/build/Clash/Class/HasDomain/Common.o, dist-ghc/build/Clash/Class/HasDomain/Common.dyn_o )
[ 8 of 121] Compiling Clash.Class.Num ( src/Clash/Class/Num.hs, dist-ghc/build/Clash/Class/Num.o, dist-ghc/build/Clash/Class/Num.dyn_o )
[ 9 of 121] Compiling Clash.Class.Resize ( src/Clash/Class/Resize.hs, dist-ghc/build/Clash/Class/Resize.o, dist-ghc/build/Clash/Class/Resize.dyn_o )
[ 10 of 121] Compiling Clash.HaskellPrelude ( src/Clash/HaskellPrelude.hs, dist-ghc/build/Clash/HaskellPrelude.o, dist-ghc/build/Clash/HaskellPrelude.dyn_o )
[ 11 of 121] Compiling Clash.Hidden ( src/Clash/Hidden.hs, dist-ghc/build/Clash/Hidden.o, dist-ghc/build/Clash/Hidden.dyn_o )
[ 12 of 121] Compiling Clash.NamedTypes ( src/Clash/NamedTypes.hs, dist-ghc/build/Clash/NamedTypes.o, dist-ghc/build/Clash/NamedTypes.dyn_o )
[ 13 of 121] Compiling Clash.Promoted.Symbol ( src/Clash/Promoted/Symbol.hs, dist-ghc/build/Clash/Promoted/Symbol.o, dist-ghc/build/Clash/Promoted/Symbol.dyn_o )
[ 14 of 121] Compiling Clash.Magic ( src/Clash/Magic.hs, dist-ghc/build/Clash/Magic.o, dist-ghc/build/Clash/Magic.dyn_o )
[ 15 of 121] Compiling Clash.Sized.Internal.Mod ( src/Clash/Sized/Internal/Mod.hs, dist-ghc/build/Clash/Sized/Internal/Mod.o, dist-ghc/build/Clash/Sized/Internal/Mod.dyn_o )
[ 16 of 121] Compiling Language.Haskell.TH.Compat ( src/Language/Haskell/TH/Compat.hs, dist-ghc/build/Language/Haskell/TH/Compat.o, dist-ghc/build/Language/Haskell/TH/Compat.dyn_o )
[ 17 of 121] Compiling Clash.XException.TH ( src/Clash/XException/TH.hs, dist-ghc/build/Clash/XException/TH.o, dist-ghc/build/Clash/XException/TH.dyn_o )
[ 18 of 121] Compiling Clash.XException[boot] ( src/Clash/XException.hs-boot, dist-ghc/build/Clash/XException.o-boot, dist-ghc/build/Clash/XException.dyn_o-boot )
[ 19 of 121] Compiling Clash.XException.Internal ( src/Clash/XException/Internal.hs, dist-ghc/build/Clash/XException/Internal.o, dist-ghc/build/Clash/XException/Internal.dyn_o )
[ 20 of 121] Compiling Clash.XException ( src/Clash/XException.hs, dist-ghc/build/Clash/XException.o, dist-ghc/build/Clash/XException.dyn_o )
[ 21 of 121] Compiling Clash.XException.MaybeX ( src/Clash/XException/MaybeX.hs, dist-ghc/build/Clash/XException/MaybeX.o, dist-ghc/build/Clash/XException/MaybeX.dyn_o )
[ 22 of 121] Compiling Clash.Promoted.Nat ( src/Clash/Promoted/Nat.hs, dist-ghc/build/Clash/Promoted/Nat.o, dist-ghc/build/Clash/Promoted/Nat.dyn_o )
[ 23 of 121] Compiling Clash.Signal.Internal ( src/Clash/Signal/Internal.hs, dist-ghc/build/Clash/Signal/Internal.o, dist-ghc/build/Clash/Signal/Internal.dyn_o )
[ 24 of 121] Compiling Clash.Verification.Internal ( src/Clash/Verification/Internal.hs, dist-ghc/build/Clash/Verification/Internal.o, dist-ghc/build/Clash/Verification/Internal.dyn_o )
[ 25 of 121] Compiling Clash.Signal.Internal.Ambiguous ( src/Clash/Signal/Internal/Ambiguous.hs, dist-ghc/build/Clash/Signal/Internal/Ambiguous.o, dist-ghc/build/Clash/Signal/Internal/Ambiguous.dyn_o )
[ 26 of 121] Compiling Clash.Signal.Bundle.Internal ( src/Clash/Signal/Bundle/Internal.hs, dist-ghc/build/Clash/Signal/Bundle/Internal.o, dist-ghc/build/Clash/Signal/Bundle/Internal.dyn_o )
[ 27 of 121] Compiling Clash.Explicit.Verification ( src/Clash/Explicit/Verification.hs, dist-ghc/build/Clash/Explicit/Verification.o, dist-ghc/build/Clash/Explicit/Verification.dyn_o )
[ 28 of 121] Compiling Clash.Signal.Delayed.Internal ( src/Clash/Signal/Delayed/Internal.hs, dist-ghc/build/Clash/Signal/Delayed/Internal.o, dist-ghc/build/Clash/Signal/Delayed/Internal.dyn_o )
[ 29 of 121] Compiling Clash.Promoted.Nat.Unsafe ( src/Clash/Promoted/Nat/Unsafe.hs, dist-ghc/build/Clash/Promoted/Nat/Unsafe.o, dist-ghc/build/Clash/Promoted/Nat/Unsafe.dyn_o )
[ 30 of 121] Compiling Clash.Promoted.Nat.TH ( src/Clash/Promoted/Nat/TH.hs, dist-ghc/build/Clash/Promoted/Nat/TH.o, dist-ghc/build/Clash/Promoted/Nat/TH.dyn_o )
[ 31 of 121] Compiling Clash.Promoted.Nat.Literals ( src/Clash/Promoted/Nat/Literals.hs, dist-ghc/build/Clash/Promoted/Nat/Literals.o, dist-ghc/build/Clash/Promoted/Nat/Literals.dyn_o )
[ 32 of 121] Compiling Clash.Class.HasDomain.CodeGen ( src/Clash/Class/HasDomain/CodeGen.hs, dist-ghc/build/Clash/Class/HasDomain/CodeGen.o, dist-ghc/build/Clash/Class/HasDomain/CodeGen.dyn_o )
[ 33 of 121] Compiling Clash.Class.BitPack.Internal.TH ( src/Clash/Class/BitPack/Internal/TH.hs, dist-ghc/build/Clash/Class/BitPack/Internal/TH.o, dist-ghc/build/Clash/Class/BitPack/Internal/TH.dyn_o )
[ 34 of 121] Compiling Clash.Sized.Internal.Index[boot] ( src/Clash/Sized/Internal/Index.hs-boot, dist-ghc/build/Clash/Sized/Internal/Index.o-boot, dist-ghc/build/Clash/Sized/Internal/Index.dyn_o-boot )
[ 35 of 121] Compiling Clash.Sized.Internal.BitVector[boot] ( src/Clash/Sized/Internal/BitVector.hs-boot, dist-ghc/build/Clash/Sized/Internal/BitVector.o-boot, dist-ghc/build/Clash/Sized/Internal/BitVector.dyn_o-boot )
[ 36 of 121] Compiling Clash.Sized.Vector[boot] ( src/Clash/Sized/Vector.hs-boot, dist-ghc/build/Clash/Sized/Vector.o-boot, dist-ghc/build/Clash/Sized/Vector.dyn_o-boot )
[ 37 of 121] Compiling Clash.Sized.Internal.BitVector ( src/Clash/Sized/Internal/BitVector.hs, dist-ghc/build/Clash/Sized/Internal/BitVector.o, dist-ghc/build/Clash/Sized/Internal/BitVector.dyn_o )
[ 38 of 121] Compiling Clash.Class.BitPack.Internal ( src/Clash/Class/BitPack/Internal.hs, dist-ghc/build/Clash/Class/BitPack/Internal.o, dist-ghc/build/Clash/Class/BitPack/Internal.dyn_o )
[ 39 of 121] Compiling Clash.Class.BitPack.BitReduction ( src/Clash/Class/BitPack/BitReduction.hs, dist-ghc/build/Clash/Class/BitPack/BitReduction.o, dist-ghc/build/Clash/Class/BitPack/BitReduction.dyn_o )
[ 40 of 121] Compiling Clash.Class.BitPack.BitIndex ( src/Clash/Class/BitPack/BitIndex.hs, dist-ghc/build/Clash/Class/BitPack/BitIndex.o, dist-ghc/build/Clash/Class/BitPack/BitIndex.dyn_o )
[ 41 of 121] Compiling Clash.Class.BitPack ( src/Clash/Class/BitPack.hs, dist-ghc/build/Clash/Class/BitPack.o, dist-ghc/build/Clash/Class/BitPack.dyn_o )
[ 42 of 121] Compiling Clash.Class.Parity ( src/Clash/Class/Parity.hs, dist-ghc/build/Clash/Class/Parity.o, dist-ghc/build/Clash/Class/Parity.dyn_o )
[ 43 of 121] Compiling Clash.Sized.Internal.Index ( src/Clash/Sized/Internal/Index.hs, dist-ghc/build/Clash/Sized/Internal/Index.o, dist-ghc/build/Clash/Sized/Internal/Index.dyn_o )
[ 44 of 121] Compiling Clash.Sized.Index ( src/Clash/Sized/Index.hs, dist-ghc/build/Clash/Sized/Index.o, dist-ghc/build/Clash/Sized/Index.dyn_o )
[ 45 of 121] Compiling Clash.Sized.Vector ( src/Clash/Sized/Vector.hs, dist-ghc/build/Clash/Sized/Vector.o, dist-ghc/build/Clash/Sized/Vector.dyn_o )
[ 46 of 121] Compiling Clash.Sized.BitVector ( src/Clash/Sized/BitVector.hs, dist-ghc/build/Clash/Sized/BitVector.o, dist-ghc/build/Clash/Sized/BitVector.dyn_o )
[ 47 of 121] Compiling Clash.Explicit.BlockRam.Internal ( src/Clash/Explicit/BlockRam/Internal.hs, dist-ghc/build/Clash/Explicit/BlockRam/Internal.o, dist-ghc/build/Clash/Explicit/BlockRam/Internal.dyn_o )
[ 48 of 121] Compiling Clash.Sized.Internal.Unsigned ( src/Clash/Sized/Internal/Unsigned.hs, dist-ghc/build/Clash/Sized/Internal/Unsigned.o, dist-ghc/build/Clash/Sized/Internal/Unsigned.dyn_o )
[ 49 of 121] Compiling Clash.Sized.Unsigned ( src/Clash/Sized/Unsigned.hs, dist-ghc/build/Clash/Sized/Unsigned.o, dist-ghc/build/Clash/Sized/Unsigned.dyn_o )
[ 50 of 121] Compiling Clash.Sized.Internal.Signed ( src/Clash/Sized/Internal/Signed.hs, dist-ghc/build/Clash/Sized/Internal/Signed.o, dist-ghc/build/Clash/Sized/Internal/Signed.dyn_o )
[ 51 of 121] Compiling Clash.Sized.Signed ( src/Clash/Sized/Signed.hs, dist-ghc/build/Clash/Sized/Signed.o, dist-ghc/build/Clash/Sized/Signed.dyn_o )
[ 52 of 121] Compiling Clash.Sized.Fixed ( src/Clash/Sized/Fixed.hs, dist-ghc/build/Clash/Sized/Fixed.o, dist-ghc/build/Clash/Sized/Fixed.dyn_o )
[ 53 of 121] Compiling Clash.Explicit.SimIO ( src/Clash/Explicit/SimIO.hs, dist-ghc/build/Clash/Explicit/SimIO.o, dist-ghc/build/Clash/Explicit/SimIO.dyn_o )
[ 54 of 121] Compiling Clash.Explicit.ROM ( src/Clash/Explicit/ROM.hs, dist-ghc/build/Clash/Explicit/ROM.o, dist-ghc/build/Clash/Explicit/ROM.dyn_o )
[ 55 of 121] Compiling Clash.Class.HasDomain.HasSpecificDomain ( src/Clash/Class/HasDomain/HasSpecificDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSpecificDomain.o, dist-ghc/build/Clash/Class/HasDomain/HasSpecificDomain.dyn_o )
[ 56 of 121] Compiling Clash.Annotations.SynthesisAttributes ( src/Clash/Annotations/SynthesisAttributes.hs, dist-ghc/build/Clash/Annotations/SynthesisAttributes.o, dist-ghc/build/Clash/Annotations/SynthesisAttributes.dyn_o )
[ 57 of 121] Compiling Clash.Sized.RTree ( src/Clash/Sized/RTree.hs, dist-ghc/build/Clash/Sized/RTree.o, dist-ghc/build/Clash/Sized/RTree.dyn_o )
[ 58 of 121] Compiling Clash.Signal.Bundle ( src/Clash/Signal/Bundle.hs, dist-ghc/build/Clash/Signal/Bundle.o, dist-ghc/build/Clash/Signal/Bundle.dyn_o )
[ 59 of 121] Compiling Clash.Explicit.BlockRam.File ( src/Clash/Explicit/BlockRam/File.hs, dist-ghc/build/Clash/Explicit/BlockRam/File.o, dist-ghc/build/Clash/Explicit/BlockRam/File.dyn_o )
[ 60 of 121] Compiling Clash.Explicit.BlockRam.Model ( src/Clash/Explicit/BlockRam/Model.hs, dist-ghc/build/Clash/Explicit/BlockRam/Model.o, dist-ghc/build/Clash/Explicit/BlockRam/Model.dyn_o )
[ 61 of 121] Compiling Clash.Class.HasDomain.HasSingleDomain ( src/Clash/Class/HasDomain/HasSingleDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSingleDomain.o, dist-ghc/build/Clash/Class/HasDomain/HasSingleDomain.dyn_o )
[ 62 of 121] Compiling Clash.Class.HasDomain ( src/Clash/Class/HasDomain.hs, dist-ghc/build/Clash/Class/HasDomain.o, dist-ghc/build/Clash/Class/HasDomain.dyn_o )
[ 63 of 121] Compiling Clash.Signal.BiSignal ( src/Clash/Signal/BiSignal.hs, dist-ghc/build/Clash/Signal/BiSignal.o, dist-ghc/build/Clash/Signal/BiSignal.dyn_o )
[ 64 of 121] Compiling Clash.Explicit.Signal ( src/Clash/Explicit/Signal.hs, dist-ghc/build/Clash/Explicit/Signal.o, dist-ghc/build/Clash/Explicit/Signal.dyn_o )
[ 65 of 121] Compiling Clash.Explicit.Signal.Delayed ( src/Clash/Explicit/Signal/Delayed.hs, dist-ghc/build/Clash/Explicit/Signal/Delayed.o, dist-ghc/build/Clash/Explicit/Signal/Delayed.dyn_o )
[ 66 of 121] Compiling Clash.Explicit.ROM.File ( src/Clash/Explicit/ROM/File.hs, dist-ghc/build/Clash/Explicit/ROM/File.o, dist-ghc/build/Clash/Explicit/ROM/File.dyn_o )
[ 67 of 121] Compiling Clash.Explicit.RAM ( src/Clash/Explicit/RAM.hs, dist-ghc/build/Clash/Explicit/RAM.o, dist-ghc/build/Clash/Explicit/RAM.dyn_o )
[ 68 of 121] Compiling Clash.Explicit.Moore ( src/Clash/Explicit/Moore.hs, dist-ghc/build/Clash/Explicit/Moore.o, dist-ghc/build/Clash/Explicit/Moore.dyn_o )
[ 69 of 121] Compiling Clash.Explicit.Mealy ( src/Clash/Explicit/Mealy.hs, dist-ghc/build/Clash/Explicit/Mealy.o, dist-ghc/build/Clash/Explicit/Mealy.dyn_o )
[ 70 of 121] Compiling Clash.Explicit.BlockRam.Blob ( src/Clash/Explicit/BlockRam/Blob.hs, dist-ghc/build/Clash/Explicit/BlockRam/Blob.o, dist-ghc/build/Clash/Explicit/BlockRam/Blob.dyn_o )
[ 71 of 121] Compiling Clash.Explicit.ROM.Blob ( src/Clash/Explicit/ROM/Blob.hs, dist-ghc/build/Clash/Explicit/ROM/Blob.o, dist-ghc/build/Clash/Explicit/ROM/Blob.dyn_o )
[ 72 of 121] Compiling Clash.Explicit.BlockRam ( src/Clash/Explicit/BlockRam.hs, dist-ghc/build/Clash/Explicit/BlockRam.o, dist-ghc/build/Clash/Explicit/BlockRam.dyn_o )
[ 73 of 121] Compiling Clash.Explicit.Synchronizer ( src/Clash/Explicit/Synchronizer.hs, dist-ghc/build/Clash/Explicit/Synchronizer.o, dist-ghc/build/Clash/Explicit/Synchronizer.dyn_o )
[ 74 of 121] Compiling Clash.Explicit.Reset ( src/Clash/Explicit/Reset.hs, dist-ghc/build/Clash/Explicit/Reset.o, dist-ghc/build/Clash/Explicit/Reset.dyn_o )
[ 75 of 121] Compiling Clash.Clocks.Internal ( src/Clash/Clocks/Internal.hs, dist-ghc/build/Clash/Clocks/Internal.o, dist-ghc/build/Clash/Clocks/Internal.dyn_o )
[ 76 of 121] Compiling Clash.Clocks ( src/Clash/Clocks.hs, dist-ghc/build/Clash/Clocks.o, dist-ghc/build/Clash/Clocks.dyn_o )
[ 77 of 121] Compiling Clash.Xilinx.ClockGen ( src/Clash/Xilinx/ClockGen.hs, dist-ghc/build/Clash/Xilinx/ClockGen.o, dist-ghc/build/Clash/Xilinx/ClockGen.dyn_o )
[ 78 of 121] Compiling Clash.Intel.ClockGen ( src/Clash/Intel/ClockGen.hs, dist-ghc/build/Clash/Intel/ClockGen.o, dist-ghc/build/Clash/Intel/ClockGen.dyn_o )
[ 79 of 121] Compiling Clash.Signal ( src/Clash/Signal.hs, dist-ghc/build/Clash/Signal.o, dist-ghc/build/Clash/Signal.dyn_o )
[ 80 of 121] Compiling Clash.Verification ( src/Clash/Verification.hs, dist-ghc/build/Clash/Verification.o, dist-ghc/build/Clash/Verification.dyn_o )
[ 81 of 121] Compiling Clash.Verification.DSL ( src/Clash/Verification/DSL.hs, dist-ghc/build/Clash/Verification/DSL.o, dist-ghc/build/Clash/Verification/DSL.dyn_o )
[ 82 of 121] Compiling Clash.Signal.Delayed ( src/Clash/Signal/Delayed.hs, dist-ghc/build/Clash/Signal/Delayed.o, dist-ghc/build/Clash/Signal/Delayed.dyn_o )
[ 83 of 121] Compiling Clash.Signal.Delayed.Bundle ( src/Clash/Signal/Delayed/Bundle.hs, dist-ghc/build/Clash/Signal/Delayed/Bundle.o, dist-ghc/build/Clash/Signal/Delayed/Bundle.dyn_o )
[ 84 of 121] Compiling Clash.Prelude.ROM.File ( src/Clash/Prelude/ROM/File.hs, dist-ghc/build/Clash/Prelude/ROM/File.o, dist-ghc/build/Clash/Prelude/ROM/File.dyn_o )
[ 85 of 121] Compiling Clash.Prelude.ROM.Blob ( src/Clash/Prelude/ROM/Blob.hs, dist-ghc/build/Clash/Prelude/ROM/Blob.o, dist-ghc/build/Clash/Prelude/ROM/Blob.dyn_o )
[ 86 of 121] Compiling Clash.Prelude.ROM ( src/Clash/Prelude/ROM.hs, dist-ghc/build/Clash/Prelude/ROM.o, dist-ghc/build/Clash/Prelude/ROM.dyn_o )
[ 87 of 121] Compiling Clash.Prelude.RAM ( src/Clash/Prelude/RAM.hs, dist-ghc/build/Clash/Prelude/RAM.o, dist-ghc/build/Clash/Prelude/RAM.dyn_o )
[ 88 of 121] Compiling Clash.Prelude.Moore ( src/Clash/Prelude/Moore.hs, dist-ghc/build/Clash/Prelude/Moore.o, dist-ghc/build/Clash/Prelude/Moore.dyn_o )
[ 89 of 121] Compiling Clash.Prelude.Mealy ( src/Clash/Prelude/Mealy.hs, dist-ghc/build/Clash/Prelude/Mealy.o, dist-ghc/build/Clash/Prelude/Mealy.dyn_o )
[ 90 of 121] Compiling Clash.Prelude.DataFlow ( src/Clash/Prelude/DataFlow.hs, dist-ghc/build/Clash/Prelude/DataFlow.o, dist-ghc/build/Clash/Prelude/DataFlow.dyn_o )
[ 91 of 121] Compiling Clash.Prelude.BlockRam.File ( src/Clash/Prelude/BlockRam/File.hs, dist-ghc/build/Clash/Prelude/BlockRam/File.o, dist-ghc/build/Clash/Prelude/BlockRam/File.dyn_o )
[ 92 of 121] Compiling Clash.Prelude.BlockRam.Blob ( src/Clash/Prelude/BlockRam/Blob.hs, dist-ghc/build/Clash/Prelude/BlockRam/Blob.o, dist-ghc/build/Clash/Prelude/BlockRam/Blob.dyn_o )
[ 93 of 121] Compiling Clash.Prelude.BlockRam ( src/Clash/Prelude/BlockRam.hs, dist-ghc/build/Clash/Prelude/BlockRam.o, dist-ghc/build/Clash/Prelude/BlockRam.dyn_o )
[ 94 of 121] Compiling Clash.Explicit.Testbench ( src/Clash/Explicit/Testbench.hs, dist-ghc/build/Clash/Explicit/Testbench.o, dist-ghc/build/Clash/Explicit/Testbench.dyn_o )
[ 95 of 121] Compiling Clash.Prelude.Testbench ( src/Clash/Prelude/Testbench.hs, dist-ghc/build/Clash/Prelude/Testbench.o, dist-ghc/build/Clash/Prelude/Testbench.dyn_o )
[ 96 of 121] Compiling Clash.Class.Counter.Internal ( src/Clash/Class/Counter/Internal.hs, dist-ghc/build/Clash/Class/Counter/Internal.o, dist-ghc/build/Clash/Class/Counter/Internal.dyn_o )
[ 97 of 121] Compiling Clash.Class.Counter ( src/Clash/Class/Counter.hs, dist-ghc/build/Clash/Class/Counter.o, dist-ghc/build/Clash/Class/Counter.dyn_o )
[ 98 of 121] Compiling Clash.Class.AutoReg.Internal ( src/Clash/Class/AutoReg/Internal.hs, dist-ghc/build/Clash/Class/AutoReg/Internal.o, dist-ghc/build/Clash/Class/AutoReg/Internal.dyn_o )
[ 99 of 121] Compiling Clash.Class.AutoReg.Instances ( src/Clash/Class/AutoReg/Instances.hs, dist-ghc/build/Clash/Class/AutoReg/Instances.o, dist-ghc/build/Clash/Class/AutoReg/Instances.dyn_o )
[100 of 121] Compiling Clash.Class.AutoReg ( src/Clash/Class/AutoReg.hs, dist-ghc/build/Clash/Class/AutoReg.o, dist-ghc/build/Clash/Class/AutoReg.dyn_o )
[101 of 121] Compiling Clash.Class.Exp ( src/Clash/Class/Exp.hs, dist-ghc/build/Clash/Class/Exp.o, dist-ghc/build/Clash/Class/Exp.dyn_o )
[102 of 121] Compiling Clash.Num.Zeroing ( src/Clash/Num/Zeroing.hs, dist-ghc/build/Clash/Num/Zeroing.o, dist-ghc/build/Clash/Num/Zeroing.dyn_o )
[103 of 121] Compiling Clash.Num.Wrapping ( src/Clash/Num/Wrapping.hs, dist-ghc/build/Clash/Num/Wrapping.o, dist-ghc/build/Clash/Num/Wrapping.dyn_o )
[104 of 121] Compiling Clash.Num.Saturating ( src/Clash/Num/Saturating.hs, dist-ghc/build/Clash/Num/Saturating.o, dist-ghc/build/Clash/Num/Saturating.dyn_o )
[105 of 121] Compiling Clash.Num.Overflowing ( src/Clash/Num/Overflowing.hs, dist-ghc/build/Clash/Num/Overflowing.o, dist-ghc/build/Clash/Num/Overflowing.dyn_o )
[106 of 121] Compiling Clash.Num.Erroring ( src/Clash/Num/Erroring.hs, dist-ghc/build/Clash/Num/Erroring.o, dist-ghc/build/Clash/Num/Erroring.dyn_o )
[107 of 121] Compiling Clash.Annotations.TopEntity ( src/Clash/Annotations/TopEntity.hs, dist-ghc/build/Clash/Annotations/TopEntity.o, dist-ghc/build/Clash/Annotations/TopEntity.dyn_o )
[108 of 121] Compiling Clash.Explicit.Prelude.Safe ( src/Clash/Explicit/Prelude/Safe.hs, dist-ghc/build/Clash/Explicit/Prelude/Safe.o, dist-ghc/build/Clash/Explicit/Prelude/Safe.dyn_o )
[109 of 121] Compiling Clash.Prelude.Safe ( src/Clash/Prelude/Safe.hs, dist-ghc/build/Clash/Prelude/Safe.o, dist-ghc/build/Clash/Prelude/Safe.dyn_o )
[110 of 121] Compiling Clash.Annotations.TH ( src/Clash/Annotations/TH.hs, dist-ghc/build/Clash/Annotations/TH.o, dist-ghc/build/Clash/Annotations/TH.dyn_o )
[111 of 121] Compiling Clash.Annotations.BitRepresentation.Deriving ( src/Clash/Annotations/BitRepresentation/Deriving.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Deriving.o, dist-ghc/build/Clash/Annotations/BitRepresentation/Deriving.dyn_o )
[112 of 121] Compiling Paths_clash_prelude ( dist-ghc/build/autogen/Paths_clash_prelude.hs, dist-ghc/build/Paths_clash_prelude.o, dist-ghc/build/Paths_clash_prelude.dyn_o )
[113 of 121] Compiling Clash.Signal.Trace ( src/Clash/Signal/Trace.hs, dist-ghc/build/Clash/Signal/Trace.o, dist-ghc/build/Clash/Signal/Trace.dyn_o )
[114 of 121] Compiling Clash.Explicit.Prelude ( src/Clash/Explicit/Prelude.hs, dist-ghc/build/Clash/Explicit/Prelude.o, dist-ghc/build/Clash/Explicit/Prelude.dyn_o )
[115 of 121] Compiling Clash.Prelude ( src/Clash/Prelude.hs, dist-ghc/build/Clash/Prelude.o, dist-ghc/build/Clash/Prelude.dyn_o )
[116 of 121] Compiling Clash.Tutorial ( src/Clash/Tutorial.hs, dist-ghc/build/Clash/Tutorial.o, dist-ghc/build/Clash/Tutorial.dyn_o )
[117 of 121] Compiling Clash.Examples.Internal ( src/Clash/Examples/Internal.hs, dist-ghc/build/Clash/Examples/Internal.o, dist-ghc/build/Clash/Examples/Internal.dyn_o )
[118 of 121] Compiling Clash.Examples ( src/Clash/Examples.hs, dist-ghc/build/Clash/Examples.o, dist-ghc/build/Clash/Examples.dyn_o )
[119 of 121] Compiling Clash.Explicit.DDR ( src/Clash/Explicit/DDR.hs, dist-ghc/build/Clash/Explicit/DDR.o, dist-ghc/build/Clash/Explicit/DDR.dyn_o )
[120 of 121] Compiling Clash.Xilinx.DDR ( src/Clash/Xilinx/DDR.hs, dist-ghc/build/Clash/Xilinx/DDR.o, dist-ghc/build/Clash/Xilinx/DDR.dyn_o )
[121 of 121] Compiling Clash.Intel.DDR ( src/Clash/Intel/DDR.hs, dist-ghc/build/Clash/Intel/DDR.o, dist-ghc/build/Clash/Intel/DDR.dyn_o )
[ 1 of 121] Compiling Clash.Annotations.BitRepresentation ( src/Clash/Annotations/BitRepresentation.hs, dist-ghc/build/Clash/Annotations/BitRepresentation.p_o )
[ 2 of 121] Compiling Clash.Annotations.BitRepresentation.Internal ( src/Clash/Annotations/BitRepresentation/Internal.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Internal.p_o )
[ 3 of 121] Compiling Clash.Annotations.BitRepresentation.Util ( src/Clash/Annotations/BitRepresentation/Util.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Util.p_o )
[ 4 of 121] Compiling Clash.Annotations.Primitive ( src/Clash/Annotations/Primitive.hs, dist-ghc/build/Clash/Annotations/Primitive.p_o )
[ 5 of 121] Compiling Clash.CPP ( src/Clash/CPP.hs, dist-ghc/build/Clash/CPP.p_o )
[ 6 of 121] Compiling Clash.Class.Counter.TH ( src/Clash/Class/Counter/TH.hs, dist-ghc/build/Clash/Class/Counter/TH.p_o )
[ 7 of 121] Compiling Clash.Class.HasDomain.Common ( src/Clash/Class/HasDomain/Common.hs, dist-ghc/build/Clash/Class/HasDomain/Common.p_o )
[ 8 of 121] Compiling Clash.Class.Num ( src/Clash/Class/Num.hs, dist-ghc/build/Clash/Class/Num.p_o )
[ 9 of 121] Compiling Clash.Class.Resize ( src/Clash/Class/Resize.hs, dist-ghc/build/Clash/Class/Resize.p_o )
[ 10 of 121] Compiling Clash.HaskellPrelude ( src/Clash/HaskellPrelude.hs, dist-ghc/build/Clash/HaskellPrelude.p_o )
[ 11 of 121] Compiling Clash.Hidden ( src/Clash/Hidden.hs, dist-ghc/build/Clash/Hidden.p_o )
[ 12 of 121] Compiling Clash.NamedTypes ( src/Clash/NamedTypes.hs, dist-ghc/build/Clash/NamedTypes.p_o )
[ 13 of 121] Compiling Clash.Promoted.Symbol ( src/Clash/Promoted/Symbol.hs, dist-ghc/build/Clash/Promoted/Symbol.p_o )
[ 14 of 121] Compiling Clash.Magic ( src/Clash/Magic.hs, dist-ghc/build/Clash/Magic.p_o )
[ 15 of 121] Compiling Clash.Sized.Internal.Mod ( src/Clash/Sized/Internal/Mod.hs, dist-ghc/build/Clash/Sized/Internal/Mod.p_o )
[ 16 of 121] Compiling Language.Haskell.TH.Compat ( src/Language/Haskell/TH/Compat.hs, dist-ghc/build/Language/Haskell/TH/Compat.p_o )
[ 17 of 121] Compiling Clash.XException.TH ( src/Clash/XException/TH.hs, dist-ghc/build/Clash/XException/TH.p_o )
[ 18 of 121] Compiling Clash.XException[boot] ( src/Clash/XException.hs-boot, dist-ghc/build/Clash/XException.p_o-boot )
[ 19 of 121] Compiling Clash.XException.Internal ( src/Clash/XException/Internal.hs, dist-ghc/build/Clash/XException/Internal.p_o )
[ 20 of 121] Compiling Clash.XException ( src/Clash/XException.hs, dist-ghc/build/Clash/XException.p_o )
[ 21 of 121] Compiling Clash.XException.MaybeX ( src/Clash/XException/MaybeX.hs, dist-ghc/build/Clash/XException/MaybeX.p_o )
[ 22 of 121] Compiling Clash.Promoted.Nat ( src/Clash/Promoted/Nat.hs, dist-ghc/build/Clash/Promoted/Nat.p_o )
[ 23 of 121] Compiling Clash.Signal.Internal ( src/Clash/Signal/Internal.hs, dist-ghc/build/Clash/Signal/Internal.p_o )
[ 24 of 121] Compiling Clash.Verification.Internal ( src/Clash/Verification/Internal.hs, dist-ghc/build/Clash/Verification/Internal.p_o )
[ 25 of 121] Compiling Clash.Signal.Internal.Ambiguous ( src/Clash/Signal/Internal/Ambiguous.hs, dist-ghc/build/Clash/Signal/Internal/Ambiguous.p_o )
[ 26 of 121] Compiling Clash.Signal.Bundle.Internal ( src/Clash/Signal/Bundle/Internal.hs, dist-ghc/build/Clash/Signal/Bundle/Internal.p_o )
[ 27 of 121] Compiling Clash.Explicit.Verification ( src/Clash/Explicit/Verification.hs, dist-ghc/build/Clash/Explicit/Verification.p_o )
[ 28 of 121] Compiling Clash.Signal.Delayed.Internal ( src/Clash/Signal/Delayed/Internal.hs, dist-ghc/build/Clash/Signal/Delayed/Internal.p_o )
[ 29 of 121] Compiling Clash.Promoted.Nat.Unsafe ( src/Clash/Promoted/Nat/Unsafe.hs, dist-ghc/build/Clash/Promoted/Nat/Unsafe.p_o )
[ 30 of 121] Compiling Clash.Promoted.Nat.TH ( src/Clash/Promoted/Nat/TH.hs, dist-ghc/build/Clash/Promoted/Nat/TH.p_o )
[ 31 of 121] Compiling Clash.Promoted.Nat.Literals ( src/Clash/Promoted/Nat/Literals.hs, dist-ghc/build/Clash/Promoted/Nat/Literals.p_o )
[ 32 of 121] Compiling Clash.Class.HasDomain.CodeGen ( src/Clash/Class/HasDomain/CodeGen.hs, dist-ghc/build/Clash/Class/HasDomain/CodeGen.p_o )
[ 33 of 121] Compiling Clash.Class.BitPack.Internal.TH ( src/Clash/Class/BitPack/Internal/TH.hs, dist-ghc/build/Clash/Class/BitPack/Internal/TH.p_o )
[ 34 of 121] Compiling Clash.Sized.Internal.Index[boot] ( src/Clash/Sized/Internal/Index.hs-boot, dist-ghc/build/Clash/Sized/Internal/Index.p_o-boot )
[ 35 of 121] Compiling Clash.Sized.Internal.BitVector[boot] ( src/Clash/Sized/Internal/BitVector.hs-boot, dist-ghc/build/Clash/Sized/Internal/BitVector.p_o-boot )
[ 36 of 121] Compiling Clash.Sized.Vector[boot] ( src/Clash/Sized/Vector.hs-boot, dist-ghc/build/Clash/Sized/Vector.p_o-boot )
[ 37 of 121] Compiling Clash.Sized.Internal.BitVector ( src/Clash/Sized/Internal/BitVector.hs, dist-ghc/build/Clash/Sized/Internal/BitVector.p_o )
[ 38 of 121] Compiling Clash.Class.BitPack.Internal ( src/Clash/Class/BitPack/Internal.hs, dist-ghc/build/Clash/Class/BitPack/Internal.p_o )
[ 39 of 121] Compiling Clash.Class.BitPack.BitReduction ( src/Clash/Class/BitPack/BitReduction.hs, dist-ghc/build/Clash/Class/BitPack/BitReduction.p_o )
[ 40 of 121] Compiling Clash.Class.BitPack.BitIndex ( src/Clash/Class/BitPack/BitIndex.hs, dist-ghc/build/Clash/Class/BitPack/BitIndex.p_o )
[ 41 of 121] Compiling Clash.Class.BitPack ( src/Clash/Class/BitPack.hs, dist-ghc/build/Clash/Class/BitPack.p_o )
[ 42 of 121] Compiling Clash.Class.Parity ( src/Clash/Class/Parity.hs, dist-ghc/build/Clash/Class/Parity.p_o )
[ 43 of 121] Compiling Clash.Sized.Internal.Index ( src/Clash/Sized/Internal/Index.hs, dist-ghc/build/Clash/Sized/Internal/Index.p_o )
[ 44 of 121] Compiling Clash.Sized.Index ( src/Clash/Sized/Index.hs, dist-ghc/build/Clash/Sized/Index.p_o )
[ 45 of 121] Compiling Clash.Sized.Vector ( src/Clash/Sized/Vector.hs, dist-ghc/build/Clash/Sized/Vector.p_o )
[ 46 of 121] Compiling Clash.Sized.BitVector ( src/Clash/Sized/BitVector.hs, dist-ghc/build/Clash/Sized/BitVector.p_o )
[ 47 of 121] Compiling Clash.Explicit.BlockRam.Internal ( src/Clash/Explicit/BlockRam/Internal.hs, dist-ghc/build/Clash/Explicit/BlockRam/Internal.p_o )
[ 48 of 121] Compiling Clash.Sized.Internal.Unsigned ( src/Clash/Sized/Internal/Unsigned.hs, dist-ghc/build/Clash/Sized/Internal/Unsigned.p_o )
[ 49 of 121] Compiling Clash.Sized.Unsigned ( src/Clash/Sized/Unsigned.hs, dist-ghc/build/Clash/Sized/Unsigned.p_o )
[ 50 of 121] Compiling Clash.Sized.Internal.Signed ( src/Clash/Sized/Internal/Signed.hs, dist-ghc/build/Clash/Sized/Internal/Signed.p_o )
[ 51 of 121] Compiling Clash.Sized.Signed ( src/Clash/Sized/Signed.hs, dist-ghc/build/Clash/Sized/Signed.p_o )
[ 52 of 121] Compiling Clash.Sized.Fixed ( src/Clash/Sized/Fixed.hs, dist-ghc/build/Clash/Sized/Fixed.p_o )
[ 53 of 121] Compiling Clash.Explicit.SimIO ( src/Clash/Explicit/SimIO.hs, dist-ghc/build/Clash/Explicit/SimIO.p_o )
[ 54 of 121] Compiling Clash.Explicit.ROM ( src/Clash/Explicit/ROM.hs, dist-ghc/build/Clash/Explicit/ROM.p_o )
[ 55 of 121] Compiling Clash.Class.HasDomain.HasSpecificDomain ( src/Clash/Class/HasDomain/HasSpecificDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSpecificDomain.p_o )
[ 56 of 121] Compiling Clash.Annotations.SynthesisAttributes ( src/Clash/Annotations/SynthesisAttributes.hs, dist-ghc/build/Clash/Annotations/SynthesisAttributes.p_o )
[ 57 of 121] Compiling Clash.Sized.RTree ( src/Clash/Sized/RTree.hs, dist-ghc/build/Clash/Sized/RTree.p_o )
[ 58 of 121] Compiling Clash.Signal.Bundle ( src/Clash/Signal/Bundle.hs, dist-ghc/build/Clash/Signal/Bundle.p_o )
[ 59 of 121] Compiling Clash.Explicit.BlockRam.File ( src/Clash/Explicit/BlockRam/File.hs, dist-ghc/build/Clash/Explicit/BlockRam/File.p_o )
[ 60 of 121] Compiling Clash.Explicit.BlockRam.Model ( src/Clash/Explicit/BlockRam/Model.hs, dist-ghc/build/Clash/Explicit/BlockRam/Model.p_o )
[ 61 of 121] Compiling Clash.Class.HasDomain.HasSingleDomain ( src/Clash/Class/HasDomain/HasSingleDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSingleDomain.p_o )
[ 62 of 121] Compiling Clash.Class.HasDomain ( src/Clash/Class/HasDomain.hs, dist-ghc/build/Clash/Class/HasDomain.p_o )
[ 63 of 121] Compiling Clash.Signal.BiSignal ( src/Clash/Signal/BiSignal.hs, dist-ghc/build/Clash/Signal/BiSignal.p_o )
[ 64 of 121] Compiling Clash.Explicit.Signal ( src/Clash/Explicit/Signal.hs, dist-ghc/build/Clash/Explicit/Signal.p_o )
[ 65 of 121] Compiling Clash.Explicit.Signal.Delayed ( src/Clash/Explicit/Signal/Delayed.hs, dist-ghc/build/Clash/Explicit/Signal/Delayed.p_o )
[ 66 of 121] Compiling Clash.Explicit.ROM.File ( src/Clash/Explicit/ROM/File.hs, dist-ghc/build/Clash/Explicit/ROM/File.p_o )
[ 67 of 121] Compiling Clash.Explicit.RAM ( src/Clash/Explicit/RAM.hs, dist-ghc/build/Clash/Explicit/RAM.p_o )
[ 68 of 121] Compiling Clash.Explicit.Moore ( src/Clash/Explicit/Moore.hs, dist-ghc/build/Clash/Explicit/Moore.p_o )
[ 69 of 121] Compiling Clash.Explicit.Mealy ( src/Clash/Explicit/Mealy.hs, dist-ghc/build/Clash/Explicit/Mealy.p_o )
[ 70 of 121] Compiling Clash.Explicit.BlockRam.Blob ( src/Clash/Explicit/BlockRam/Blob.hs, dist-ghc/build/Clash/Explicit/BlockRam/Blob.p_o )
[ 71 of 121] Compiling Clash.Explicit.ROM.Blob ( src/Clash/Explicit/ROM/Blob.hs, dist-ghc/build/Clash/Explicit/ROM/Blob.p_o )
[ 72 of 121] Compiling Clash.Explicit.BlockRam ( src/Clash/Explicit/BlockRam.hs, dist-ghc/build/Clash/Explicit/BlockRam.p_o )
[ 73 of 121] Compiling Clash.Explicit.Synchronizer ( src/Clash/Explicit/Synchronizer.hs, dist-ghc/build/Clash/Explicit/Synchronizer.p_o )
[ 74 of 121] Compiling Clash.Explicit.Reset ( src/Clash/Explicit/Reset.hs, dist-ghc/build/Clash/Explicit/Reset.p_o )
[ 75 of 121] Compiling Clash.Clocks.Internal ( src/Clash/Clocks/Internal.hs, dist-ghc/build/Clash/Clocks/Internal.p_o )
[ 76 of 121] Compiling Clash.Clocks ( src/Clash/Clocks.hs, dist-ghc/build/Clash/Clocks.p_o )
[ 77 of 121] Compiling Clash.Xilinx.ClockGen ( src/Clash/Xilinx/ClockGen.hs, dist-ghc/build/Clash/Xilinx/ClockGen.p_o )
[ 78 of 121] Compiling Clash.Intel.ClockGen ( src/Clash/Intel/ClockGen.hs, dist-ghc/build/Clash/Intel/ClockGen.p_o )
[ 79 of 121] Compiling Clash.Signal ( src/Clash/Signal.hs, dist-ghc/build/Clash/Signal.p_o )
[ 80 of 121] Compiling Clash.Verification ( src/Clash/Verification.hs, dist-ghc/build/Clash/Verification.p_o )
[ 81 of 121] Compiling Clash.Verification.DSL ( src/Clash/Verification/DSL.hs, dist-ghc/build/Clash/Verification/DSL.p_o )
[ 82 of 121] Compiling Clash.Signal.Delayed ( src/Clash/Signal/Delayed.hs, dist-ghc/build/Clash/Signal/Delayed.p_o )
[ 83 of 121] Compiling Clash.Signal.Delayed.Bundle ( src/Clash/Signal/Delayed/Bundle.hs, dist-ghc/build/Clash/Signal/Delayed/Bundle.p_o )
[ 84 of 121] Compiling Clash.Prelude.ROM.File ( src/Clash/Prelude/ROM/File.hs, dist-ghc/build/Clash/Prelude/ROM/File.p_o )
[ 85 of 121] Compiling Clash.Prelude.ROM.Blob ( src/Clash/Prelude/ROM/Blob.hs, dist-ghc/build/Clash/Prelude/ROM/Blob.p_o )
[ 86 of 121] Compiling Clash.Prelude.ROM ( src/Clash/Prelude/ROM.hs, dist-ghc/build/Clash/Prelude/ROM.p_o )
[ 87 of 121] Compiling Clash.Prelude.RAM ( src/Clash/Prelude/RAM.hs, dist-ghc/build/Clash/Prelude/RAM.p_o )
[ 88 of 121] Compiling Clash.Prelude.Moore ( src/Clash/Prelude/Moore.hs, dist-ghc/build/Clash/Prelude/Moore.p_o )
[ 89 of 121] Compiling Clash.Prelude.Mealy ( src/Clash/Prelude/Mealy.hs, dist-ghc/build/Clash/Prelude/Mealy.p_o )
[ 90 of 121] Compiling Clash.Prelude.DataFlow ( src/Clash/Prelude/DataFlow.hs, dist-ghc/build/Clash/Prelude/DataFlow.p_o )
[ 91 of 121] Compiling Clash.Prelude.BlockRam.File ( src/Clash/Prelude/BlockRam/File.hs, dist-ghc/build/Clash/Prelude/BlockRam/File.p_o )
[ 92 of 121] Compiling Clash.Prelude.BlockRam.Blob ( src/Clash/Prelude/BlockRam/Blob.hs, dist-ghc/build/Clash/Prelude/BlockRam/Blob.p_o )
[ 93 of 121] Compiling Clash.Prelude.BlockRam ( src/Clash/Prelude/BlockRam.hs, dist-ghc/build/Clash/Prelude/BlockRam.p_o )
[ 94 of 121] Compiling Clash.Explicit.Testbench ( src/Clash/Explicit/Testbench.hs, dist-ghc/build/Clash/Explicit/Testbench.p_o )
[ 95 of 121] Compiling Clash.Prelude.Testbench ( src/Clash/Prelude/Testbench.hs, dist-ghc/build/Clash/Prelude/Testbench.p_o )
[ 96 of 121] Compiling Clash.Class.Counter.Internal ( src/Clash/Class/Counter/Internal.hs, dist-ghc/build/Clash/Class/Counter/Internal.p_o )
[ 97 of 121] Compiling Clash.Class.Counter ( src/Clash/Class/Counter.hs, dist-ghc/build/Clash/Class/Counter.p_o )
[ 98 of 121] Compiling Clash.Class.AutoReg.Internal ( src/Clash/Class/AutoReg/Internal.hs, dist-ghc/build/Clash/Class/AutoReg/Internal.p_o )
[ 99 of 121] Compiling Clash.Class.AutoReg.Instances ( src/Clash/Class/AutoReg/Instances.hs, dist-ghc/build/Clash/Class/AutoReg/Instances.p_o )
[100 of 121] Compiling Clash.Class.AutoReg ( src/Clash/Class/AutoReg.hs, dist-ghc/build/Clash/Class/AutoReg.p_o )
[101 of 121] Compiling Clash.Class.Exp ( src/Clash/Class/Exp.hs, dist-ghc/build/Clash/Class/Exp.p_o )
[102 of 121] Compiling Clash.Num.Zeroing ( src/Clash/Num/Zeroing.hs, dist-ghc/build/Clash/Num/Zeroing.p_o )
[103 of 121] Compiling Clash.Num.Wrapping ( src/Clash/Num/Wrapping.hs, dist-ghc/build/Clash/Num/Wrapping.p_o )
[104 of 121] Compiling Clash.Num.Saturating ( src/Clash/Num/Saturating.hs, dist-ghc/build/Clash/Num/Saturating.p_o )
[105 of 121] Compiling Clash.Num.Overflowing ( src/Clash/Num/Overflowing.hs, dist-ghc/build/Clash/Num/Overflowing.p_o )
[106 of 121] Compiling Clash.Num.Erroring ( src/Clash/Num/Erroring.hs, dist-ghc/build/Clash/Num/Erroring.p_o )
[107 of 121] Compiling Clash.Annotations.TopEntity ( src/Clash/Annotations/TopEntity.hs, dist-ghc/build/Clash/Annotations/TopEntity.p_o )
[108 of 121] Compiling Clash.Explicit.Prelude.Safe ( src/Clash/Explicit/Prelude/Safe.hs, dist-ghc/build/Clash/Explicit/Prelude/Safe.p_o )
[109 of 121] Compiling Clash.Prelude.Safe ( src/Clash/Prelude/Safe.hs, dist-ghc/build/Clash/Prelude/Safe.p_o )
[110 of 121] Compiling Clash.Annotations.TH ( src/Clash/Annotations/TH.hs, dist-ghc/build/Clash/Annotations/TH.p_o )
[111 of 121] Compiling Clash.Annotations.BitRepresentation.Deriving ( src/Clash/Annotations/BitRepresentation/Deriving.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Deriving.p_o )
[112 of 121] Compiling Paths_clash_prelude ( dist-ghc/build/autogen/Paths_clash_prelude.hs, dist-ghc/build/Paths_clash_prelude.p_o )
[113 of 121] Compiling Clash.Signal.Trace ( src/Clash/Signal/Trace.hs, dist-ghc/build/Clash/Signal/Trace.p_o )
[114 of 121] Compiling Clash.Explicit.Prelude ( src/Clash/Explicit/Prelude.hs, dist-ghc/build/Clash/Explicit/Prelude.p_o )
[115 of 121] Compiling Clash.Prelude ( src/Clash/Prelude.hs, dist-ghc/build/Clash/Prelude.p_o )
[116 of 121] Compiling Clash.Tutorial ( src/Clash/Tutorial.hs, dist-ghc/build/Clash/Tutorial.p_o )
[117 of 121] Compiling Clash.Examples.Internal ( src/Clash/Examples/Internal.hs, dist-ghc/build/Clash/Examples/Internal.p_o )
[118 of 121] Compiling Clash.Examples ( src/Clash/Examples.hs, dist-ghc/build/Clash/Examples.p_o )
[119 of 121] Compiling Clash.Explicit.DDR ( src/Clash/Explicit/DDR.hs, dist-ghc/build/Clash/Explicit/DDR.p_o )
[120 of 121] Compiling Clash.Xilinx.DDR ( src/Clash/Xilinx/DDR.hs, dist-ghc/build/Clash/Xilinx/DDR.p_o )
[121 of 121] Compiling Clash.Intel.DDR ( src/Clash/Intel/DDR.hs, dist-ghc/build/Clash/Intel/DDR.p_o )
Preprocessing test suite 'unittests' for clash-prelude-1.8.1..
Building test suite 'unittests' for clash-prelude-1.8.1..
[ 1 of 32] Compiling Clash.Tests.AutoReg ( tests/Clash/Tests/AutoReg.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/AutoReg.o )
[ 2 of 32] Compiling Clash.Tests.BitPack ( tests/Clash/Tests/BitPack.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BitPack.o )
[ 3 of 32] Compiling Clash.Tests.BlockRam ( tests/Clash/Tests/BlockRam.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BlockRam.o )
[ 4 of 32] Compiling Clash.Tests.Clocks ( tests/Clash/Tests/Clocks.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Clocks.o )
[ 5 of 32] Compiling Clash.Tests.DerivingDataReprTypes ( tests/Clash/Tests/DerivingDataReprTypes.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataReprTypes.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataReprTypes.dyn_o )
[ 6 of 32] Compiling Clash.Tests.DerivingDataRepr ( tests/Clash/Tests/DerivingDataRepr.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataRepr.o )
[ 7 of 32] Compiling Clash.Tests.FixedExhaustive ( tests/Clash/Tests/FixedExhaustive.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/FixedExhaustive.o )
[ 8 of 32] Compiling Clash.Tests.MaybeX ( tests/Clash/Tests/MaybeX.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/MaybeX.o )
[ 9 of 32] Compiling Clash.Tests.NFDataX ( tests/Clash/Tests/NFDataX.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/NFDataX.o )
[10 of 32] Compiling Clash.Tests.Ram ( tests/Clash/Tests/Ram.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Ram.o )
[11 of 32] Compiling Clash.Tests.Reset ( tests/Clash/Tests/Reset.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Reset.o )
[12 of 32] Compiling Clash.Tests.Resize ( tests/Clash/Tests/Resize.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Resize.o )
[13 of 32] Compiling Clash.Tests.Signal ( tests/Clash/Tests/Signal.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Signal.o )
[14 of 32] Compiling Clash.Tests.SizedNum ( tests/Clash/Tests/SizedNum.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/SizedNum.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/SizedNum.dyn_o )
[15 of 32] Compiling Clash.Tests.Signed ( tests/Clash/Tests/Signed.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Signed.o )
[16 of 32] Compiling Clash.Tests.TopEntityGeneration ( tests/Clash/Tests/TopEntityGeneration.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/TopEntityGeneration.o )
tests/Clash/Tests/TopEntityGeneration.hs:201:1: warning: [GHC-39584]
Make sure HDL port names are correct:
Backtracked when constructing Clash.Sized.Vector.Vec
(Type appears recursive)
|
201 | makeTopEntity 'topEntity7
| ^^^^^^^^^^^^^^^^^^^^^^^^^
tests/Clash/Tests/TopEntityGeneration.hs:201:1: warning: [GHC-39584]
Make sure HDL port names are correct:
Backtracked when constructing Clash.Sized.Vector.Vec
(Type appears recursive)
|
201 | makeTopEntity 'topEntity7
| ^^^^^^^^^^^^^^^^^^^^^^^^^
tests/Clash/Tests/TopEntityGeneration.hs:308:12: warning: [GHC-39584]
Make sure HDL port names are correct:
Backtracked when constructing Clash.Sized.Vector.Vec
(Type appears recursive)
|
308 | $(unTypeQ $ maybeBuildTopEntity Nothing 'topEntity7)
| ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
tests/Clash/Tests/TopEntityGeneration.hs:308:12: warning: [GHC-39584]
Make sure HDL port names are correct:
Backtracked when constructing Clash.Sized.Vector.Vec
(Type appears recursive)
|
308 | $(unTypeQ $ maybeBuildTopEntity Nothing 'topEntity7)
| ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
[17 of 32] Compiling Clash.Tests.Unsigned ( tests/Clash/Tests/Unsigned.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Unsigned.o )
[18 of 32] Compiling Clash.Tests.Vector ( tests/Clash/Tests/Vector.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Vector.o )
[19 of 32] Compiling Hedgehog.Extra ( tests/Hedgehog/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Hedgehog/Extra.o )
[20 of 32] Compiling Test.QuickCheck.Extra ( tests/Test/QuickCheck/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Test/QuickCheck/Extra.o )
[21 of 32] Compiling Test.Tasty.HUnit.Extra ( tests/Test/Tasty/HUnit/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/HUnit/Extra.o, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/HUnit/Extra.dyn_o )
[22 of 32] Compiling Clash.Tests.XException ( tests/Clash/Tests/XException.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/XException.o )
[23 of 32] Compiling Clash.Tests.Laws.Enum ( tests/Clash/Tests/Laws/Enum.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Laws/Enum.o )
[24 of 32] Compiling Test.Tasty.Hedgehog.Extra ( tests/Test/Tasty/Hedgehog/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/Hedgehog/Extra.o, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/Hedgehog/Extra.dyn_o )
[25 of 32] Compiling Clash.Tests.NumNewtypes ( tests/Clash/Tests/NumNewtypes.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/NumNewtypes.o )
[26 of 32] Compiling Clash.Tests.Laws.SaturatingNum ( tests/Clash/Tests/Laws/SaturatingNum.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Laws/SaturatingNum.o )
[27 of 32] Compiling Clash.Tests.Fixed ( tests/Clash/Tests/Fixed.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Fixed.o )
[28 of 32] Compiling Clash.Tests.Counter ( tests/Clash/Tests/Counter.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Counter.o )
[29 of 32] Compiling Clash.Tests.BlockRam.Blob ( tests/Clash/Tests/BlockRam/Blob.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BlockRam/Blob.o )
[30 of 32] Compiling Clash.Tests.BitVector ( tests/Clash/Tests/BitVector.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BitVector.o )
[31 of 32] Compiling Clash.Tests.AsyncFIFOSynchronizer ( tests/Clash/Tests/AsyncFIFOSynchronizer.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/AsyncFIFOSynchronizer.o )
[32 of 32] Compiling Main ( tests/unittests.hs, dist-ghc/build/unittests/unittests-tmp/Main.o )
[33 of 33] Linking dist-ghc/build/unittests/unittests
touch build-ghc-stamp
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'check_recipe'
Running dh_listpackages
libghc-clash-prelude-dev
libghc-clash-prelude-prof
libghc-clash-prelude-doc
Running 1 test suites...
Test suite unittests: RUNNING...
Unittests
asyncFIFOSynchronizer
Test 1.1 Read: OK
Test 1.1 Write: OK
Test 2.1 Read: OK
Test 2.1 Write: OK
Test 1.2 Read: OK
Test 1.2 Write: OK
Test 2.2 Read: OK
Test 2.2 Write: OK
Test 1.3 Read: OK
Test 1.3 Write: OK
Test 2.3 Read: OK (0.02s)
Test 2.3 Write: OK
Test 1.4 Read: OK
Test 1.4 Write: OK
Test 2.4 Read: OK
Test 2.4 Write: OK
Test 3.5 Read: OK
Test 3.5 Write: OK
Test 4.5 Read: OK
Test 4.5 Write: OK
Test 3.6 Read: OK
Test 3.6 Write: OK
Test 4.6 Read: OK
Test 4.6 Write: OK
Test 5.7 Read: OK
Test 5.7 Write: OK
Test 6.7 Read: OK
Test 6.7 Write: OK
Functional test: OK (0.10s)
✓ Functional test passed 100 tests.
AutoReg
autoReg === register
Int: OK
+++ OK, passed 100 tests.
(Unsigned 4, Bool): OK
+++ OK, passed 100 tests.
Maybe Bool: OK
+++ OK, passed 100 tests.
Vec 4 Bool: OK
+++ OK, passed 100 tests.
Vec 4 (Maybe Bool): OK (0.01s)
+++ OK, passed 100 tests.
Maybe (Vec 4 Bool): OK
+++ OK, passed 100 tests.
Maybe (Maybe (Vec 4 Bool)): OK
+++ OK, passed 100 tests.
RTree 2 Bool: OK (0.01s)
+++ OK, passed 100 tests.
Maybe (RTree 2 Bool): OK (0.01s)
+++ OK, passed 100 tests.
Maybe (Maybe (RTree 2 Bool)): OK (0.01s)
+++ OK, passed 100 tests.
Maybe (Vec 4 (Maybe (Vec 3 Bool))): OK (0.03s)
+++ OK, passed 100 tests.
BitPack
Generic
Unit: OK
Wrapper: OK
SumTypeA: OK
SumTypeB: OK
BigSum1: OK
BigSum2: OK
BigSum3: OK
BigSum4: OK
BigSum5: OK
Product: OK
SP1: OK
SP2: OK
Rec1: OK
Rec2: OK
Vec: OK
All
bitPattern
case0-0: OK
case0-1: OK
case0-2: OK
case0-3: OK
case2-0: OK
case2-1: OK
case3-0: OK
case3-1: OK
case3-2: OK
BitVector 1
fromInteger: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests; 96 discarded.
Division Modulus: OK
+++ OK, passed 100 tests; 73 discarded.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests; 106 discarded.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests; 78 discarded.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests.
Left Rotation: OK
+++ OK, passed 100 tests.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
BitVector 21
fromInteger: OK
+++ OK, passed 100 tests.
Enum
Succ Pred Identity: OK
+++ OK, passed 100 tests.
Pred Succ Identity: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK (0.01s)
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests.
Division Modulus: OK
+++ OK, passed 100 tests.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests.
Left Rotation: OK
+++ OK, passed 100 tests.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
BitVector 83
fromInteger: OK
+++ OK, passed 100 tests.
Enum
Succ Pred Identity: OK
+++ OK, passed 100 tests.
Pred Succ Identity: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK (0.07s)
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests.
Division Modulus: OK
+++ OK, passed 100 tests.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests.
Left Rotation: OK
+++ OK, passed 100 tests.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Random BitVector
fromInteger: OK
+++ OK, passed 100 tests; 20 discarded.
Enum
[4,3..]: OK
[4,2..]: OK
take 5 [4,4..]: OK
[2,4..]: OK
[3,4..]: OK
Bounds
maxBound :: BitVector 0: OK
minBound :: BitVector 0: OK
MSB
msb @(BitVector 1): OK
✓ msb @(BitVector 1) passed 100 tests.
msb @(BitVector 2): OK
✓ msb @(BitVector 2) passed 100 tests.
msb @(BitVector 3): OK
✓ msb @(BitVector 3) passed 100 tests.
msb @(BitVector 37): OK
✓ msb @(BitVector 37) passed 100 tests.
msb @(BitVector 64): OK
✓ msb @(BitVector 64) passed 100 tests.
msb @(BitVector 128): OK (0.01s)
✓ msb @(BitVector 128) passed 100 tests.
msb @(BitVector 129): OK
✓ msb @(BitVector 129) passed 100 tests.
show
show0: OK
show1: OK
show2: OK
show3: OK
show4: OK
show5: OK
show6: OK
show7: OK
show8: OK
show9: OK
show10: OK
show11: OK
show12: OK
show13: OK
show14: OK
show14: OK
show15: OK
show15: OK
show16: OK
show16: OK
show17: OK
show17: OK
BlockRam
Address strictness: OK
Undefined enable: OK
BlockRam
Blob
Round trip: OK (0.08s)
✓ Round trip passed 100 tests.
Clocks class
Lock is resampled from reset: OK
All
packSuccTest @2 @2: OK
✓ packSuccTest @2 @2 passed 100 tests.
packSuccTest @3 @2: OK
✓ packSuccTest @3 @2 passed 100 tests.
packSuccTest2 @129 @5: OK
✓ packSuccTest2 @129 @5 passed 100 tests.
packPredTest @2 @2: OK
✓ packPredTest @2 @2 passed 100 tests.
packPredTest @3 @2: OK
✓ packPredTest @3 @2 passed 100 tests.
packPredTest2 @129 @5: OK
✓ packPredTest2 @129 @5 passed 100 tests.
Signed 5
succShouldWrapAround: OK
predShouldWrapAround: OK
succShouldSeeCountMax: OK
predShouldSeeCountMin: OK
((Signed 5),(Signed 5))
succShouldWrapAround: OK
predShouldWrapAround: OK
succShouldSeeCountMax: OK
predShouldSeeCountMin: OK
((Signed 2),(Signed 2),(Unsigned 7))
succShouldWrapAround: OK
predShouldWrapAround: OK
succShouldSeeCountMax: OK
predShouldSeeCountMin: OK
Either (Signed 5) (Index 5)
succShouldWrapAround: OK
predShouldWrapAround: OK
succShouldSeeCountMax: OK
predShouldSeeCountMin: OK
DerivingDataRepr
OneHotOverlapL: OK
OneHotOverlapR: OK
OneHotOverlapRec: OK
OneHotOverlapInfix: OK
OneHotWide: OK
BinaryOverlap: OK
BinaryWide: OK
Packed: OK
PackedMaybe: OK
Fixed
SaturatingNum
SFixed 0 0
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 0 1
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 1 0
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 0 2
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 1 1
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 2 0
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 1 2
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 2 1
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 2 2
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 7 7
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 121 121
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
SFixed 128 128
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 0 0
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 0 1
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 1 0
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 0 2
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 1 1
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 2 0
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 1 2
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 2 1
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 2 2
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 7 7
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 121 121
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
UFixed 128 128
satSucc: OK
✓ satSucc passed 100 tests.
satPred: OK
✓ satPred passed 100 tests.
Enum
SFixed 7 7
pred: OK
✓ pred passed 100 tests.
succ: OK
✓ succ passed 100 tests.
enumFrom: OK
✓ enumFrom passed 100 tests.
enumFromThen: OK (0.02s)
✓ enumFromThen passed 100 tests.
enumFromTo: OK
✓ enumFromTo passed 100 tests.
enumFromThenTo: OK (0.02s)
✓ enumFromThenTo passed 100 tests.
SFixed 121 121
pred: OK
✓ pred passed 100 tests.
succ: OK
✓ succ passed 100 tests.
enumFrom: OK (0.10s)
✓ enumFrom passed 100 tests.
enumFromThen: OK (0.05s)
✓ enumFromThen passed 100 tests.
enumFromTo: OK (0.01s)
✓ enumFromTo passed 100 tests.
enumFromThenTo: OK (0.06s)
✓ enumFromThenTo passed 100 tests.
SFixed 128 128
pred: OK
✓ pred passed 100 tests.
succ: OK
✓ succ passed 100 tests.
enumFrom: OK (0.10s)
✓ enumFrom passed 100 tests.
enumFromThen: OK (0.05s)
✓ enumFromThen passed 100 tests.
enumFromTo: OK (0.01s)
✓ enumFromTo passed 100 tests.
enumFromThenTo: OK (0.06s)
✓ enumFromThenTo passed 100 tests.
UFixed 7 7
pred: OK
✓ pred passed 100 tests.
succ: OK
✓ succ passed 100 tests.
enumFrom: OK
✓ enumFrom passed 100 tests.
enumFromThen: OK (0.02s)
✓ enumFromThen passed 100 tests.
enumFromTo: OK
✓ enumFromTo passed 100 tests.
enumFromThenTo: OK (0.02s)
✓ enumFromThenTo passed 100 tests.
UFixed 121 121
pred: OK
✓ pred passed 100 tests.
succ: OK
✓ succ passed 100 tests.
enumFrom: OK (0.10s)
✓ enumFrom passed 100 tests.
enumFromThen: OK (0.05s)
✓ enumFromThen passed 100 tests.
enumFromTo: OK (0.01s)
✓ enumFromTo passed 100 tests.
enumFromThenTo: OK (0.06s)
✓ enumFromThenTo passed 100 tests.
UFixed 128 128
pred: OK
✓ pred passed 100 tests.
succ: OK
✓ succ passed 100 tests.
enumFrom: OK (0.10s)
✓ enumFrom passed 100 tests.
enumFromThen: OK (0.05s)
✓ enumFromThen passed 100 tests.
enumFromTo: OK (0.01s)
✓ enumFromTo passed 100 tests.
enumFromThenTo: OK (0.06s)
✓ enumFromThenTo passed 100 tests.
FixedExhaustive
Fixed Signed 0 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 0 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 1 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 0 2
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 1 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 2 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 0 3
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 1 2
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 2 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 3 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 0 4
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 1 3
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 2 2
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 3 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Signed 4 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 0 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 0 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 1 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 0 2
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 1 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 2 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 0 3
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 1 2
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 2 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 3 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 0 4
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK (0.01s)
Fixed Unsigned 1 3
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 2 2
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 3 1
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Fixed Unsigned 4 0
enumFrom: OK
enumFromThen: OK
enumFromTo: OK
enumFromThenTo: OK
Clash.Tests.MaybeX
showNoParens: OK
showParens: OK
pureDefined: OK
pureX: OK
Fmap: OK
strictFmap: OK
liftA2: OK
strictLiftA2: OK
NFDataX
GenericRnf
Unit: OK
Wrapper1: OK
Wrapper2: OK
Sum: OK
BigSum: OK
Product1: OK
Product2: OK
Product3: OK
Product4: OK
SP1: OK
SP2: OK
SP3: OK
SP3: OK
SP4: OK
Rec0: OK
Rec1_1: OK
Rec1_2: OK
Rec2_1: OK
Rec2_2: OK
Rec2_3: OK
Void: OK
Tuples
HU1: OK
HU3: OK
HU2: OK
HU4: OK
HU4: OK
HU5: OK
HU6: OK
HU7: OK
HU8: OK
RnfX1: OK
RnfX3: OK
RnfX2: OK
RnfX4: OK
RnfX4: OK
RnfX5: OK
RnfX6: OK
RnfX7: OK
RnfX8: OK
DU: OK
ES1: OK
ES1: OK
ES2: OK
ManualRnf
List1: OK
List2: OK
Maybe1: OK
Maybe2: OK
Either1: OK
Either2: OK
Either3: OK
Down1: OK
Down2: OK
GenericHasUndefinedTrue
Unit: OK
Wrapper1: OK
Wrapper2: OK
Sum: OK
BigSum: OK
Product1: OK
Product2: OK
Product3: OK
Product4: OK
SP1: OK
SP2: OK
SP3: OK
SP3: OK
SP4: OK
Rec0: OK
Rec1_1: OK
Rec1_2: OK
Rec2_1: OK
Rec2_2: OK
Rec2_3: OK
Void: OK
GenericHasUndefinedFalse
Unit: OK
Wrapper: OK
SumA: OK
SumB: OK
BigSum1: OK
BigSum2: OK
BigSum3: OK
BigSum4: OK
BigSum5: OK
Product: OK
SP1: OK
SP2: OK
Rec2_3: OK
ManualHasUndefined
Vec1: OK
Vec2: OK
Maybe: OK
BitVector1: OK
BitVector2: OK
GenericDeepErrorX
Unit: OK
Wrapper1: OK
Product1: OK
Rec1_1: OK
Rec2_1: OK
ProductRec: OK
GenericEnsureSpine
Unit: OK
Wrapper1: OK
Product1: OK
Rec1_1: OK
Rec2_1: OK
ProductRec: OK
Numeric Newtypes
Erroring
Index 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 70% ██████████████······
Overflow 30% ██████··············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 66% █████████████▏······
Underflow 34% ██████▊·············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 82% ████████████████▍···
Overflow 18% ███▌················
Negation: OK
✓ Negation passed 100 tests.
InBounds 44% ████████▊···········
Underflow 56% ███████████▏········
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 53% ██████████▌·········
Overflow 47% █████████▍··········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 50% ██████████··········
Underflow 50% ██████████··········
Division: OK
✓ Division passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 67% █████████████▍······
Overflow 33% ██████▌·············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 56% ███████████▏········
Overflow 44% ████████▊···········
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 67% █████████████▍······
Overflow 33% ██████▌·············
Signed 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 62% ████████████▍·······
Overflow 19% ███▊················
Underflow 19% ███▊················
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 67% █████████████▍······
Overflow 22% ████▍···············
Underflow 11% ██▏·················
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 30% ██████··············
Overflow 36% ███████▏············
Underflow 34% ██████▊·············
Negation: OK
✓ Negation passed 100 tests.
InBounds 84% ████████████████▊···
Overflow 16% ███▏················
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 84% ████████████████▊···
Overflow 16% ███▏················
Successor: OK
✓ Successor passed 100 tests.
InBounds 55% ███████████·········
Overflow 45% █████████···········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 79% ███████████████▊····
Underflow 21% ████▏···············
Division: OK
✓ Division passed 100 tests.
InBounds 84% ████████████████▊···
Overflow 16% ███▏················
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 90% ██████████████████··
Overflow 10% ██··················
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 89% █████████████████▊··
Overflow 11% ██▏·················
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 87% █████████████████▍··
Overflow 13% ██▌·················
Unsigned 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 57% ███████████▍········
Overflow 43% ████████▌···········
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 64% ████████████▊·······
Underflow 36% ███████▏············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 56% ███████████▏········
Overflow 44% ████████▊···········
Negation: OK
✓ Negation passed 100 tests.
InBounds 28% █████▌··············
Underflow 72% ██████████████▍·····
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 56% ███████████▏········
Overflow 44% ████████▊···········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 68% █████████████▌······
Underflow 32% ██████▍·············
Division: OK
✓ Division passed 100 tests.
InBounds 69% █████████████▊······
Overflow 31% ██████▏·············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 74% ██████████████▊·····
Overflow 26% █████▏··············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 78% ███████████████▌····
Overflow 22% ████▍···············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 65% █████████████·······
Overflow 35% ███████·············
Overflowing
Index 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 70% ██████████████······
Overflow 30% ██████··············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 76% ███████████████▏····
Underflow 24% ████▊···············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 73% ██████████████▌·····
Overflow 27% █████▍··············
Negation: OK
✓ Negation passed 100 tests.
InBounds 42% ████████▍···········
Underflow 58% ███████████▌········
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 53% ██████████▌·········
Underflow 47% █████████▍··········
Division: OK
✓ Division passed 100 tests.
InBounds 59% ███████████▊········
Overflow 41% ████████▏···········
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 57% ███████████▍········
Overflow 43% ████████▌···········
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 62% ████████████▍·······
Overflow 38% ███████▌············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 51% ██████████▏·········
Overflow 49% █████████▊··········
Signed 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 68% █████████████▌······
Overflow 18% ███▌················
Underflow 14% ██▊·················
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 65% █████████████·······
Overflow 13% ██▌·················
Underflow 22% ████▍···············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 25% █████···············
Overflow 37% ███████▍············
Underflow 38% ███████▌············
Negation: OK
✓ Negation passed 100 tests.
InBounds 83% ████████████████▌···
Overflow 17% ███▍················
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 87% █████████████████▍··
Overflow 13% ██▌·················
Successor: OK
✓ Successor passed 100 tests.
InBounds 67% █████████████▍······
Overflow 33% ██████▌·············
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 84% ████████████████▊···
Underflow 16% ███▏················
Division: OK
✓ Division passed 100 tests.
InBounds 90% ██████████████████··
Overflow 10% ██··················
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 86% █████████████████▏··
Overflow 14% ██▊·················
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 80% ████████████████····
Overflow 20% ████················
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 89% █████████████████▊··
Overflow 11% ██▏·················
Unsigned 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 54% ██████████▊·········
Overflow 46% █████████▏··········
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 60% ████████████········
Underflow 40% ████████············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 59% ███████████▊········
Overflow 41% ████████▏···········
Negation: OK
✓ Negation passed 100 tests.
InBounds 29% █████▊··············
Underflow 71% ██████████████▏·····
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 62% ████████████▍·······
Overflow 38% ███████▌············
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 71% ██████████████▏·····
Underflow 29% █████▊··············
Division: OK
✓ Division passed 100 tests.
InBounds 67% █████████████▍······
Overflow 33% ██████▌·············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 71% ██████████████▏·····
Overflow 29% █████▊··············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 74% ██████████████▊·····
Overflow 26% █████▏··············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 64% ████████████▊·······
Overflow 36% ███████▏············
Saturating
Index 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 63% ████████████▌·······
Overflow 37% ███████▍············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 70% ██████████████······
Underflow 30% ██████··············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 74% ██████████████▊·····
Overflow 26% █████▏··············
Negation: OK
✓ Negation passed 100 tests.
InBounds 41% ████████▏···········
Underflow 59% ███████████▊········
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 57% ███████████▍········
Overflow 43% ████████▌···········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 58% ███████████▌········
Underflow 42% ████████▍···········
Division: OK
✓ Division passed 100 tests.
InBounds 66% █████████████▏······
Overflow 34% ██████▊·············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 61% ████████████▏·······
Overflow 39% ███████▊············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 59% ███████████▊········
Overflow 41% ████████▏···········
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Signed 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 59% ███████████▊········
Overflow 22% ████▍···············
Underflow 19% ███▊················
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 66% █████████████▏······
Overflow 18% ███▌················
Underflow 16% ███▏················
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 23% ████▌···············
Overflow 40% ████████············
Underflow 37% ███████▍············
Negation: OK
✓ Negation passed 100 tests.
InBounds 83% ████████████████▌···
Overflow 17% ███▍················
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 90% ██████████████████··
Overflow 10% ██··················
Successor: OK
✓ Successor passed 100 tests.
InBounds 67% █████████████▍······
Overflow 33% ██████▌·············
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 84% ████████████████▊···
Underflow 16% ███▏················
Division: OK
✓ Division passed 100 tests.
InBounds 88% █████████████████▌··
Overflow 12% ██▍·················
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 91% ██████████████████▏·
Overflow 9% █▊··················
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 91% ██████████████████▏·
Overflow 9% █▊··················
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 86% █████████████████▏··
Overflow 14% ██▊·················
Unsigned 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 62% ████████████▍·······
Overflow 38% ███████▌············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 70% ██████████████······
Underflow 30% ██████··············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Negation: OK
✓ Negation passed 100 tests.
InBounds 22% ████▍···············
Underflow 78% ███████████████▌····
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 56% ███████████▏········
Overflow 44% ████████▊···········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 73% ██████████████▌·····
Underflow 27% █████▍··············
Division: OK
✓ Division passed 100 tests.
InBounds 78% ███████████████▌····
Overflow 22% ████▍···············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 68% █████████████▌······
Overflow 32% ██████▍·············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 72% ██████████████▍·····
Overflow 28% █████▌··············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 74% ██████████████▊·····
Overflow 26% █████▏··············
Wrapping
Index 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 64% ████████████▊·······
Overflow 36% ███████▏············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 70% ██████████████······
Underflow 30% ██████··············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 73% ██████████████▌·····
Overflow 27% █████▍··············
Negation: OK
✓ Negation passed 100 tests.
InBounds 42% ████████▍···········
Underflow 58% ███████████▌········
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 54% ██████████▊·········
Overflow 46% █████████▏··········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 52% ██████████▍·········
Underflow 48% █████████▌··········
Division: OK
✓ Division passed 100 tests.
InBounds 56% ███████████▏········
Overflow 44% ████████▊···········
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 58% ███████████▌········
Overflow 42% ████████▍···········
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 62% ████████████▍·······
Overflow 38% ███████▌············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 59% ███████████▊········
Overflow 41% ████████▏···········
Signed 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 69% █████████████▊······
Overflow 16% ███▏················
Underflow 15% ███·················
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 66% █████████████▏······
Overflow 17% ███▍················
Underflow 17% ███▍················
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 29% █████▊··············
Overflow 29% █████▊··············
Underflow 42% ████████▍···········
Negation: OK
✓ Negation passed 100 tests.
InBounds 88% █████████████████▌··
Overflow 12% ██▍·················
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 85% █████████████████···
Overflow 15% ███·················
Successor: OK
✓ Successor passed 100 tests.
InBounds 65% █████████████·······
Overflow 35% ███████·············
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 85% █████████████████···
Underflow 15% ███·················
Division: OK
✓ Division passed 100 tests.
InBounds 87% █████████████████▍··
Overflow 13% ██▌·················
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 89% █████████████████▊··
Overflow 11% ██▏·················
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 94% ██████████████████▊·
Overflow 6% █▏··················
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 91% ██████████████████▏·
Overflow 9% █▊··················
Unsigned 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 73% ██████████████▌·····
Underflow 27% █████▍··············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 56% ███████████▏········
Overflow 44% ████████▊···········
Negation: OK
✓ Negation passed 100 tests.
InBounds 24% ████▊···············
Underflow 76% ███████████████▏····
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 61% ████████████▏·······
Overflow 39% ███████▊············
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 75% ███████████████·····
Underflow 25% █████···············
Division: OK
✓ Division passed 100 tests.
InBounds 70% ██████████████······
Overflow 30% ██████··············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 71% ██████████████▏·····
Overflow 29% █████▊··············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 74% ██████████████▊·····
Overflow 26% █████▏··············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 70% ██████████████······
Overflow 30% ██████··············
Zeroing
Index 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 65% █████████████·······
Overflow 35% ███████·············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 61% ████████████▏·······
Underflow 39% ███████▊············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 75% ███████████████·····
Overflow 25% █████···············
Negation: OK
✓ Negation passed 100 tests.
InBounds 43% ████████▌···········
Underflow 57% ███████████▍········
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 49% █████████▊··········
Overflow 51% ██████████▏·········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 52% ██████████▍·········
Underflow 48% █████████▌··········
Division: OK
✓ Division passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 54% ██████████▊·········
Overflow 46% █████████▏··········
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 53% ██████████▌·········
Overflow 47% █████████▍··········
Signed 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 64% ████████████▊·······
Overflow 17% ███▍················
Underflow 19% ███▊················
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 64% ████████████▊·······
Overflow 20% ████················
Underflow 16% ███▏················
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 29% █████▊··············
Overflow 37% ███████▍············
Underflow 34% ██████▊·············
Negation: OK
✓ Negation passed 100 tests.
InBounds 83% ████████████████▌···
Overflow 17% ███▍················
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 84% ████████████████▊···
Overflow 16% ███▏················
Successor: OK
✓ Successor passed 100 tests.
InBounds 59% ███████████▊········
Overflow 41% ████████▏···········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 86% █████████████████▏··
Underflow 14% ██▊·················
Division: OK
✓ Division passed 100 tests.
InBounds 90% ██████████████████··
Overflow 10% ██··················
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 89% █████████████████▊··
Overflow 11% ██▏·················
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 89% █████████████████▊··
Overflow 11% ██▏·················
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 84% ████████████████▊···
Overflow 16% ███▏················
Unsigned 4
Addition: OK
✓ Addition passed 100 tests.
InBounds 60% ████████████········
Overflow 40% ████████············
Subtraction: OK
✓ Subtraction passed 100 tests.
InBounds 64% ████████████▊·······
Underflow 36% ███████▏············
Multiplication: OK
✓ Multiplication passed 100 tests.
InBounds 55% ███████████·········
Overflow 45% █████████···········
Negation: OK
✓ Negation passed 100 tests.
InBounds 27% █████▍··············
Underflow 73% ██████████████▌·····
Absolute: OK
✓ Absolute passed 100 tests.
InBounds 100% ████████████████████
Successor: OK
✓ Successor passed 100 tests.
InBounds 59% ███████████▊········
Overflow 41% ████████▏···········
Predecessor: OK
✓ Predecessor passed 100 tests.
InBounds 72% ██████████████▍·····
Underflow 28% █████▌··············
Division: OK
✓ Division passed 100 tests.
InBounds 68% █████████████▌······
Overflow 32% ██████▍·············
Modulo: OK
✓ Modulo passed 100 tests.
InBounds 73% ██████████████▌·····
Overflow 27% █████▍··············
Quotient: OK
✓ Quotient passed 100 tests.
InBounds 73% ██████████████▌·····
Overflow 27% █████▍··············
Remainder: OK
✓ Remainder passed 100 tests.
InBounds 74% ██████████████▊·····
Overflow 26% █████▏··············
Ram
Undefined enable: OK
Undefined write address: OK
OOB write address: OK
Undefined write data: OK
Deasserted enable: OK
Deasserted enable, OOB address: OK
OOB read address: OK
Read address strictness: OK
Reset
Clash.Tests.Reset
onePeriodGlitch: OK
onePeriodGlitch LowPolarity: OK
convertReset polarity change: OK
Resize
checkedResize
indexProp @17 @19: OK
+++ OK, passed 100 tests.
indexProp @19 @19: OK
+++ OK, passed 100 tests.
indexFailProp @37 @7: OK
+++ OK, passed 100 tests; 25 discarded.
Signal
Implicit
withReset behavior: OK
T1521: OK
unsafeSynchronizer
case_dynamicStaticEq: OK
case_dynamicHasEffect: OK
case_changingDynamicClocks: OK
case_F1_F6: OK
case_F6_F1: OK
All
Signed 1
fromInteger: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests; 81 discarded.
Division Modulus: OK
+++ OK, passed 100 tests; 85 discarded.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests; 88 discarded.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests; 99 discarded.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests; 99 discarded.
Left Rotation: OK
+++ OK, passed 100 tests; 84 discarded.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Signed 21
fromInteger: OK
+++ OK, passed 100 tests.
Enum
Succ Pred Identity: OK
+++ OK, passed 100 tests.
Pred Succ Identity: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests.
Division Modulus: OK
+++ OK, passed 100 tests.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests; 110 discarded.
Left Rotation: OK
+++ OK, passed 100 tests; 120 discarded.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Signed 83
fromInteger: OK
+++ OK, passed 100 tests.
Enum
Succ Pred Identity: OK
+++ OK, passed 100 tests.
Pred Succ Identity: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests.
Division Modulus: OK
+++ OK, passed 100 tests.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests; 116 discarded.
Left Rotation: OK
+++ OK, passed 100 tests; 116 discarded.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Random Signed
fromInteger: OK
+++ OK, passed 100 tests; 10 discarded.
Enum
[3,2..]: OK
[3,1..]: OK
take 5 [4,4..]: OK
[2,4..]: OK
[3,4..]: OK
Bounds
maxBound :: Signed 0: OK
minBound :: Signed 0: OK
TopEntityGeneration
Expected successes
topEntity1: OK
topEntity2: OK
topEntity3: OK
topEntity4: OK
topEntity5: OK
topEntity6: OK
topEntity7: OK
topEntity8: OK
Expected failures
topEntityFailure1: OK
topEntityFailure2: OK
topEntityFailure3: OK
topEntityFailure4: OK
topEntityFailure5: OK
topEntityFailure6: OK
topEntityFailure8: OK
All
Unsigned 1
fromInteger: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests; 98 discarded.
Division Modulus: OK
+++ OK, passed 100 tests; 85 discarded.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests; 97 discarded.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests; 105 discarded.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests.
Left Rotation: OK
+++ OK, passed 100 tests.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Unsigned 21
fromInteger: OK
+++ OK, passed 100 tests.
Enum
Succ Pred Identity: OK
+++ OK, passed 100 tests.
Pred Succ Identity: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests.
Division Modulus: OK
+++ OK, passed 100 tests.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests.
Left Rotation: OK
+++ OK, passed 100 tests.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Unsigned 83
fromInteger: OK
+++ OK, passed 100 tests.
Enum
Succ Pred Identity: OK
+++ OK, passed 100 tests.
Pred Succ Identity: OK
+++ OK, passed 100 tests.
Eq
Transitive: OK
+++ OK, passed 100 tests.
Symmetric: OK
+++ OK, passed 100 tests.
Reflexive: OK
+++ OK, passed 100 tests.
Ord
Antisymmetry: OK
+++ OK, passed 100 tests.
Transitivity: OK
+++ OK, passed 100 tests.
Totality: OK
+++ OK, passed 100 tests.
Num
Additive Commutativity: OK
+++ OK, passed 100 tests.
Additive Left Identity: OK
+++ OK, passed 100 tests.
Additive Right Identity: OK
+++ OK, passed 100 tests.
Multiplicative Associativity: OK
+++ OK, passed 100 tests.
Multiplicative Left Identity: OK
+++ OK, passed 100 tests.
Multiplicative Right Identity: OK
+++ OK, passed 100 tests.
Multiplication Left Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplication Right Distributes Over Addition: OK
+++ OK, passed 100 tests.
Multiplicative Left Annihilation: OK
+++ OK, passed 100 tests.
Multiplicative Right Annihilation: OK
+++ OK, passed 100 tests.
Additive Inverse: OK
+++ OK, passed 100 tests.
Subtraction: OK
+++ OK, passed 100 tests.
Abs Is Idempotent: OK
+++ OK, passed 100 tests.
Signum Is Idempotent: OK
+++ OK, passed 100 tests.
Product Of Abs And Signum Is Id: OK
+++ OK, passed 100 tests.
Show
Show: OK
+++ OK, passed 100 tests.
Equivariance: showsPrec: OK
+++ OK, passed 100 tests.
Equivariance: showList: OK
+++ OK, passed 100 tests.
Integral
Quotient Remainder: OK
+++ OK, passed 100 tests.
Division Modulus: OK
+++ OK, passed 100 tests.
Integer Roundtrip: OK
+++ OK, passed 100 tests.
QuotRem is (Quot, Rem): OK
+++ OK, passed 100 tests.
DivMod is (Div, Mod): OK
+++ OK, passed 100 tests.
Bits
Conjunction Idempotence: OK
+++ OK, passed 100 tests.
Disjunction Idempotence: OK
+++ OK, passed 100 tests.
Double Complement: OK
+++ OK, passed 100 tests.
Set Bit: OK
+++ OK, passed 100 tests.
Clear Bit: OK
+++ OK, passed 100 tests.
Complement Bit: OK
+++ OK, passed 100 tests.
Clear Zero: OK
+++ OK, passed 100 tests.
Set Zero: OK
+++ OK, passed 100 tests.
Test Zero: OK
+++ OK, passed 100 tests.
Pop Zero: OK
+++ OK, passed 100 tests.
Right Rotation: OK
+++ OK, passed 100 tests.
Left Rotation: OK
+++ OK, passed 100 tests.
Count Leading Zeros of Zero: OK
+++ OK, passed 100 tests.
Count Trailing Zeros of Zero: OK
+++ OK, passed 100 tests.
Random Unsigned
fromInteger: OK
+++ OK, passed 100 tests; 32 discarded.
Enum
[4,3..]: OK
[4,2..]: OK
take 5 [4,4..]: OK
[2,4..]: OK
[3,4..]: OK
Bounds
maxBound :: Unsigned 0: OK
minBound :: Unsigned 0: OK
Clash.Tests.Vector
showXVector: OK
showX2DVector: OK
showX2DVectorInList: OK
showVector: OK
show2DVector: OK
showVectorInList: OK
Clash.Tests.XException
hasX: OK
Laws
Enum
Index 1
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Index 2
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Index 128
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Unsigned 0
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Unsigned 1
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Unsigned 32
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Unsigned 127
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Unsigned 128
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Signed 0
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Signed 1
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Signed 32
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Signed 127
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
Signed 128
succ maxBound ~ _|_: OK
pred minBound ~ _|_: OK
SaturatingNum
Index 1
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Index 2
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Index 128
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Unsigned 0
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Unsigned 1
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Unsigned 32
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Unsigned 127
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Unsigned 128
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Signed 0
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Signed 1
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Signed 32
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Signed 127
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
Signed 128
SatWrap: Wrap around on overflow: OK
SatWrap: Wrap around on underflow: OK
SatSymmetric: Become maxBound on overflow: OK
SatSymmetric: Become minBound or minBound+1 on underflow: OK
SatBound: Become maxBound on overflow: OK
SatBound: Become minBound on underflow: OK
SatZero: Become 0 on overflow: OK
SatZero: Become 0 on underflow: OK
SatError: Error on overflow: OK
SatError: Error on underflow: OK
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 0 0
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 0 1
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 1 0
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 0 2
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 1 1
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 2 0
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 1 2
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 2 1
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 2 2
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 7 7
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 121 121
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
SFixed 128 128
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 0 0
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 0 1
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 1 0
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 0 2
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 1 1
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 2 0
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 1 2
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 2 1
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 2 2
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 7 7
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 121 121
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
UFixed 128 128
satAddTotal: OK
✓ satAddTotal passed 100 tests.
satSubTotal: OK
✓ satSubTotal passed 100 tests.
satMulTotal: OK
✓ satMulTotal passed 100 tests.
All 1350 tests passed (2.76s)
Test suite unittests: PASS
Test suite logged to: dist-ghc/test/clash-prelude-1.8.1-unittests.log
1 of 1 test suites (1 of 1 test cases) passed.
touch check-ghc-stamp
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'haddock_recipe'
Running dh_listpackages
libghc-clash-prelude-dev
libghc-clash-prelude-prof
libghc-clash-prelude-doc
Running debian/hlibrary.setup haddock --builddir=dist-ghc --with-haddock=/usr/bin/haddock --with-ghc=ghc --verbose=2 --html --hoogle --haddock-options="--mathjax=file:///usr/share/javascript/mathjax/MathJax.js" --hyperlink-source
Running: /usr/bin/haddock --version
Running: /usr/bin/ghc --numeric-version
Running: /usr/bin/ghc --print-libdir
Running: /usr/bin/haddock --ghc-version '--mathjax=file:///usr/share/javascript/mathjax/MathJax.js'
Running: /usr/bin/ghc-pkg-9.6.6 init dist-ghc/package.conf.inplace
creating dist-ghc/build
creating dist-ghc/build/autogen
creating dist-ghc/build/autogen
creating dist-ghc/build/autogen
Preprocessing library for clash-prelude-1.8.1..
Running Haddock on library for clash-prelude-1.8.1..
creating dist-ghc/doc/html/clash-prelude
creating dist-ghc/doc/html
creating dist-ghc/doc
creating dist-ghc/doc/html
creating dist-ghc/doc/html/clash-prelude
Running: /usr/bin/haddock '@dist-ghc/doc/html/clash-prelude/haddock-response2145-1.txt' '--mathjax=file:///usr/share/javascript/mathjax/MathJax.js'
72% ( 8 / 11) in 'Clash.Annotations.BitRepresentation'
Missing documentation for:
BitMask (src/Clash/Annotations/BitRepresentation.hs:37)
Value (src/Clash/Annotations/BitRepresentation.hs:38)
Size (src/Clash/Annotations/BitRepresentation.hs:39)
83% ( 10 / 12) in 'Clash.Annotations.BitRepresentation.Internal'
Missing documentation for:
dataReprAnnToDataRepr' (src/Clash/Annotations/BitRepresentation/Internal.hs:82)
constrReprToConstrRepr' (src/Clash/Annotations/BitRepresentation/Internal.hs:78)
71% ( 5 / 7) in 'Clash.Annotations.BitRepresentation.Util'
Missing documentation for:
isContinuousMask (src/Clash/Annotations/BitRepresentation/Util.hs:149)
Bit (src/Clash/Annotations/BitRepresentation/Util.hs:23)
100% ( 11 / 11) in 'Clash.Annotations.Primitive'
0% ( 0 / 12) in 'Clash.Class.Counter.TH'
Missing documentation for:
Module header
counterName (src/Clash/Class/Counter/TH.hs:7)
countMinName (src/Clash/Class/Counter/TH.hs:7)
countMaxName (src/Clash/Class/Counter/TH.hs:7)
countSuccName (src/Clash/Class/Counter/TH.hs:7)
countPredName (src/Clash/Class/Counter/TH.hs:7)
mkTupTy (src/Clash/Class/Counter/TH.hs:14)
mkTup (src/Clash/Class/Counter/TH.hs:17)
genTupleInstances (src/Clash/Class/Counter/TH.hs:24)
genTupleInstance (src/Clash/Class/Counter/TH.hs:27)
genCount (src/Clash/Class/Counter/TH.hs:48)
genCountOverflow (src/Clash/Class/Counter/TH.hs:51)
42% ( 3 / 7) in 'Clash.Class.HasDomain.Common'
Missing documentation for:
:<<>>: (src/Clash/Class/HasDomain/Common.hs:30)
:$$$: (src/Clash/Class/HasDomain/Common.hs:33)
:++: (src/Clash/Class/HasDomain/Common.hs:44)
ToEM (src/Clash/Class/HasDomain/Common.hs:24)
100% ( 9 / 9) in 'Clash.Class.Num'
100% ( 6 / 6) in 'Clash.Class.Resize'
95% (102 /107) in 'Clash.HaskellPrelude'
Missing documentation for:
Bool
Ordering
&& (src/Clash/HaskellPrelude.hs:63)
|| (src/Clash/HaskellPrelude.hs:68)
not (src/Clash/HaskellPrelude.hs:71)
100% ( 5 / 5) in 'Clash.Hidden'
100% ( 2 / 2) in 'Clash.NamedTypes'
100% ( 4 / 4) in 'Clash.Promoted.Symbol'
100% ( 17 / 17) in 'Clash.Magic'
72% ( 8 / 11) in 'Clash.Sized.Internal.Mod'
Missing documentation for:
bigNatToNat (src/Clash/Sized/Internal/Mod.hs:191)
subIfGe (src/Clash/Sized/Internal/Mod.hs:198)
brokenInvariant (src/Clash/Sized/Internal/Mod.hs:353)
75% ( 3 / 4) in 'Clash.XException.TH'
Missing documentation for:
mkNFDataXTupleInstances (src/Clash/XException/TH.hs:157)
42% ( 8 / 19) in 'Clash.XException.Internal'
Missing documentation for:
showXWith (src/Clash/XException/Internal.hs:84)
GShowX (src/Clash/XException/Internal.hs:109)
GDeepErrorX (src/Clash/XException/Internal.hs:334)
GHasUndefined (src/Clash/XException/Internal.hs:294)
GEnsureSpine (src/Clash/XException/Internal.hs:252)
Zero (src/Clash/XException/Internal.hs:202)
One (src/Clash/XException/Internal.hs:203)
ShowType (src/Clash/XException/Internal.hs:114)
RnfArgs (src/Clash/XException/Internal.hs:205)
showListX__ (src/Clash/XException/Internal.hs:72)
genericShowsPrecX (src/Clash/XException/Internal.hs:81)
100% ( 27 / 27) in 'Clash.XException'
100% ( 11 / 11) in 'Clash.XException.MaybeX'
97% ( 71 / 73) in 'Clash.Promoted.Nat'
Missing documentation for:
minSNat (src/Clash/Promoted/Nat.hs:289)
maxSNat (src/Clash/Promoted/Nat.hs:292)
90% (120 /133) in 'Clash.Signal.Internal'
Missing documentation for:
head# (src/Clash/Signal/Internal.hs:772)
tail# (src/Clash/Signal/Internal.hs:775)
Domain (src/Clash/Signal/Internal.hs:721)
KnownConfiguration (src/Clash/Signal/Internal.hs:462)
InitBehavior (src/Clash/Signal/Internal.hs:292)
SInitBehavior (src/Clash/Signal/Internal.hs:301)
ResetKind (src/Clash/Signal/Internal.hs:249)
ClockAB (src/Clash/Signal/Internal.hs:1889)
delay# (src/Clash/Signal/Internal.hs:1406)
mapSignal# (src/Clash/Signal/Internal.hs:793)
signal# (src/Clash/Signal/Internal.hs:807)
appSignal# (src/Clash/Signal/Internal.hs:813)
traverse# (src/Clash/Signal/Internal.hs:894)
58% ( 7 / 12) in 'Clash.Verification.Internal'
Missing documentation for:
Assertion (src/Clash/Verification/Internal.hs:102)
IsTemporal (src/Clash/Verification/Internal.hs:52)
toTemporal (src/Clash/Verification/Internal.hs:105)
isTemporal (src/Clash/Verification/Internal.hs:110)
assertion (src/Clash/Verification/Internal.hs:114)
85% ( 6 / 7) in 'Clash.Signal.Internal.Ambiguous'
Missing documentation for:
Module header
96% ( 30 / 31) in 'Clash.Explicit.Verification'
Missing documentation for:
Assertion (src/Clash/Verification/Internal.hs:102)
100% ( 13 / 13) in 'Clash.Signal.Delayed.Internal'
100% ( 2 / 2) in 'Clash.Promoted.Nat.Unsafe'
100% ( 5 / 5) in 'Clash.Promoted.Nat.TH'
0% ( 1 /1026) in 'Clash.Promoted.Nat.Literals'
33% ( 1 / 3) in 'Clash.Class.HasDomain.CodeGen'
Missing documentation for:
mkTryDomainTuples (src/Clash/Class/HasDomain/CodeGen.hs:40)
mkHasDomainTuples (src/Clash/Class/HasDomain/CodeGen.hs:65)
100% ( 2 / 2) in 'Clash.Class.BitPack.Internal.TH'
42% ( 45 /107) in 'Clash.Sized.Internal.BitVector'
Missing documentation for:
eq## (src/Clash/Sized/Internal/BitVector.hs:312)
neq## (src/Clash/Sized/Internal/BitVector.hs:318)
lt## (src/Clash/Sized/Internal/BitVector.hs:330)
ge## (src/Clash/Sized/Internal/BitVector.hs:330)
gt## (src/Clash/Sized/Internal/BitVector.hs:330)
le## (src/Clash/Sized/Internal/BitVector.hs:330)
toEnum## (src/Clash/Sized/Internal/BitVector.hs:352)
fromInteger## (src/Clash/Sized/Internal/BitVector.hs:374)
and## (src/Clash/Sized/Internal/BitVector.hs:419)
or## (src/Clash/Sized/Internal/BitVector.hs:419)
xor## (src/Clash/Sized/Internal/BitVector.hs:419)
complement## (src/Clash/Sized/Internal/BitVector.hs:438)
pack# (src/Clash/Sized/Internal/BitVector.hs:446)
unpack# (src/Clash/Sized/Internal/BitVector.hs:456)
size# (src/Clash/Sized/Internal/BitVector.hs:1018)
maxIndex# (src/Clash/Sized/Internal/BitVector.hs:1028)
reduceAnd# (src/Clash/Sized/Internal/BitVector.hs:973)
reduceOr# (src/Clash/Sized/Internal/BitVector.hs:993)
reduceXor# (src/Clash/Sized/Internal/BitVector.hs:1006)
index# (src/Clash/Sized/Internal/BitVector.hs:1039)
replaceBit# (src/Clash/Sized/Internal/BitVector.hs:1128)
setSlice# (src/Clash/Sized/Internal/BitVector.hs:1153)
slice# (src/Clash/Sized/Internal/BitVector.hs:1095)
split# (src/Clash/Sized/Internal/BitVector.hs:1176)
eq# (src/Clash/Sized/Internal/BitVector.hs:636)
neq# (src/Clash/Sized/Internal/BitVector.hs:643)
lt# (src/Clash/Sized/Internal/BitVector.hs:653)
ge# (src/Clash/Sized/Internal/BitVector.hs:653)
gt# (src/Clash/Sized/Internal/BitVector.hs:653)
le# (src/Clash/Sized/Internal/BitVector.hs:653)
toEnum# (src/Clash/Sized/Internal/BitVector.hs:687)
fromEnum# (src/Clash/Sized/Internal/BitVector.hs:693)
enumFrom# (src/Clash/Sized/Internal/BitVector.hs:699)
enumFromThen# (src/Clash/Sized/Internal/BitVector.hs:710)
enumFromTo# (src/Clash/Sized/Internal/BitVector.hs:730)
enumFromThenTo# (src/Clash/Sized/Internal/BitVector.hs:746)
minBound# (src/Clash/Sized/Internal/BitVector.hs:768)
maxBound# (src/Clash/Sized/Internal/BitVector.hs:774)
+# (src/Clash/Sized/Internal/BitVector.hs:792)
-# (src/Clash/Sized/Internal/BitVector.hs:792)
*# (src/Clash/Sized/Internal/BitVector.hs:792)
negate# (src/Clash/Sized/Internal/BitVector.hs:838)
fromInteger# (src/Clash/Sized/Internal/BitVector.hs:853)
plus# (src/Clash/Sized/Internal/BitVector.hs:876)
minus# (src/Clash/Sized/Internal/BitVector.hs:883)
times# (src/Clash/Sized/Internal/BitVector.hs:899)
quot# (src/Clash/Sized/Internal/BitVector.hs:918)
rem# (src/Clash/Sized/Internal/BitVector.hs:918)
toInteger# (src/Clash/Sized/Internal/BitVector.hs:933)
and# (src/Clash/Sized/Internal/BitVector.hs:1199)
or# (src/Clash/Sized/Internal/BitVector.hs:1199)
xor# (src/Clash/Sized/Internal/BitVector.hs:1199)
complement# (src/Clash/Sized/Internal/BitVector.hs:1233)
shiftL# (src/Clash/Sized/Internal/BitVector.hs:1237)
shiftR# (src/Clash/Sized/Internal/BitVector.hs:1237)
rotateL# (src/Clash/Sized/Internal/BitVector.hs:1237)
rotateR# (src/Clash/Sized/Internal/BitVector.hs:1237)
popCountBV (src/Clash/Sized/Internal/BitVector.hs:1335)
countLeadingZerosBV (src/Clash/Sized/Internal/BitVector.hs:962)
countTrailingZerosBV (src/Clash/Sized/Internal/BitVector.hs:966)
truncateB# (src/Clash/Sized/Internal/BitVector.hs:1353)
undefError (src/Clash/Sized/Internal/BitVector.hs:1483)
56% ( 9 / 16) in 'Clash.Class.BitPack.Internal'
Missing documentation for:
packXWith (src/Clash/Class/BitPack/Internal.hs:159)
xToBV (src/Clash/Class/BitPack/Internal.hs:167)
packFloat# (src/Clash/Class/BitPack/Internal.hs:313)
unpackFloat# (src/Clash/Class/BitPack/Internal.hs:319)
packDouble# (src/Clash/Class/BitPack/Internal.hs:330)
unpackDouble# (src/Clash/Class/BitPack/Internal.hs:336)
GBitPack (src/Clash/Class/BitPack/Internal.hs:366)
100% ( 5 / 5) in 'Clash.Class.BitPack.BitReduction'
100% ( 9 / 9) in 'Clash.Class.BitPack.BitIndex'
95% ( 20 / 21) in 'Clash.Class.BitPack'
Missing documentation for:
packXWith (src/Clash/Class/BitPack/Internal.hs:159)
100% ( 2 / 2) in 'Clash.Class.Parity'
39% ( 18 / 46) in 'Clash.Sized.Internal.Index'
Missing documentation for:
size# (src/Clash/Sized/Internal/Index.hs:177)
pack# (src/Clash/Sized/Internal/Index.hs:198)
unpack# (src/Clash/Sized/Internal/Index.hs:204)
eq# (src/Clash/Sized/Internal/Index.hs:215)
neq# (src/Clash/Sized/Internal/Index.hs:221)
lt# (src/Clash/Sized/Internal/Index.hs:230)
ge# (src/Clash/Sized/Internal/Index.hs:230)
gt# (src/Clash/Sized/Internal/Index.hs:230)
le# (src/Clash/Sized/Internal/Index.hs:230)
toEnum# (src/Clash/Sized/Internal/Index.hs:260)
fromEnum# (src/Clash/Sized/Internal/Index.hs:266)
enumFrom# (src/Clash/Sized/Internal/Index.hs:272)
enumFromThen# (src/Clash/Sized/Internal/Index.hs:277)
enumFromTo# (src/Clash/Sized/Internal/Index.hs:282)
enumFromThenTo# (src/Clash/Sized/Internal/Index.hs:287)
maxBound# (src/Clash/Sized/Internal/Index.hs:296)
+# (src/Clash/Sized/Internal/Index.hs:319)
-# (src/Clash/Sized/Internal/Index.hs:319)
*# (src/Clash/Sized/Internal/Index.hs:319)
negate# (src/Clash/Sized/Internal/Index.hs:335)
fromInteger# (src/Clash/Sized/Internal/Index.hs:339)
plus# (src/Clash/Sized/Internal/Index.hs:359)
minus# (src/Clash/Sized/Internal/Index.hs:359)
times# (src/Clash/Sized/Internal/Index.hs:378)
quot# (src/Clash/Sized/Internal/Index.hs:484)
rem# (src/Clash/Sized/Internal/Index.hs:484)
toInteger# (src/Clash/Sized/Internal/Index.hs:497)
resize# (src/Clash/Sized/Internal/Index.hs:537)
100% ( 4 / 4) in 'Clash.Sized.Index'
95% (136 /143) in 'Clash.Sized.Vector'
Missing documentation for:
zipWith4 (src/Clash/Sized/Vector.hs:953)
zipWith5 (src/Clash/Sized/Vector.hs:969)
zipWith6 (src/Clash/Sized/Vector.hs:986)
zipWith7 (src/Clash/Sized/Vector.hs:1004)
traverse# (src/Clash/Sized/Vector.hs:370)
concatBitVector# (src/Clash/Sized/Vector.hs:2556)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2572)
91% ( 22 / 24) in 'Clash.Sized.BitVector'
Missing documentation for:
size# (src/Clash/Sized/Internal/BitVector.hs:1018)
maxIndex# (src/Clash/Sized/Internal/BitVector.hs:1028)
33% ( 3 / 9) in 'Clash.Explicit.BlockRam.Internal'
Missing documentation for:
unpackMemBlob0 (src/Clash/Explicit/BlockRam/Internal.hs:60)
packBVs (src/Clash/Explicit/BlockRam/Internal.hs:70)
packAsNats (src/Clash/Explicit/BlockRam/Internal.hs:103)
unpackNats (src/Clash/Explicit/BlockRam/Internal.hs:141)
unpackW64s (src/Clash/Explicit/BlockRam/Internal.hs:174)
unpackEnds (src/Clash/Explicit/BlockRam/Internal.hs:188)
30% ( 18 / 59) in 'Clash.Sized.Internal.Unsigned'
Missing documentation for:
size# (src/Clash/Sized/Internal/Unsigned.hs:221)
pack# (src/Clash/Sized/Internal/Unsigned.hs:257)
unpack# (src/Clash/Sized/Internal/Unsigned.hs:263)
eq# (src/Clash/Sized/Internal/Unsigned.hs:274)
neq# (src/Clash/Sized/Internal/Unsigned.hs:280)
lt# (src/Clash/Sized/Internal/Unsigned.hs:289)
ge# (src/Clash/Sized/Internal/Unsigned.hs:289)
gt# (src/Clash/Sized/Internal/Unsigned.hs:289)
le# (src/Clash/Sized/Internal/Unsigned.hs:289)
toEnum# (src/Clash/Sized/Internal/Unsigned.hs:332)
fromEnum# (src/Clash/Sized/Internal/Unsigned.hs:338)
enumFrom# (src/Clash/Sized/Internal/Unsigned.hs:344)
enumFromThen# (src/Clash/Sized/Internal/Unsigned.hs:354)
enumFromTo# (src/Clash/Sized/Internal/Unsigned.hs:367)
enumFromThenTo# (src/Clash/Sized/Internal/Unsigned.hs:377)
minBound# (src/Clash/Sized/Internal/Unsigned.hs:391)
maxBound# (src/Clash/Sized/Internal/Unsigned.hs:397)
+# (src/Clash/Sized/Internal/Unsigned.hs:415)
-# (src/Clash/Sized/Internal/Unsigned.hs:415)
*# (src/Clash/Sized/Internal/Unsigned.hs:415)
negate# (src/Clash/Sized/Internal/Unsigned.hs:449)
fromInteger# (src/Clash/Sized/Internal/Unsigned.hs:460)
plus# (src/Clash/Sized/Internal/Unsigned.hs:481)
minus# (src/Clash/Sized/Internal/Unsigned.hs:487)
times# (src/Clash/Sized/Internal/Unsigned.hs:502)
quot# (src/Clash/Sized/Internal/Unsigned.hs:520)
rem# (src/Clash/Sized/Internal/Unsigned.hs:520)
toInteger# (src/Clash/Sized/Internal/Unsigned.hs:533)
and# (src/Clash/Sized/Internal/Unsigned.hs:566)
or# (src/Clash/Sized/Internal/Unsigned.hs:572)
xor# (src/Clash/Sized/Internal/Unsigned.hs:578)
complement# (src/Clash/Sized/Internal/Unsigned.hs:584)
shiftL# (src/Clash/Sized/Internal/Unsigned.hs:588)
shiftR# (src/Clash/Sized/Internal/Unsigned.hs:588)
rotateL# (src/Clash/Sized/Internal/Unsigned.hs:588)
rotateR# (src/Clash/Sized/Internal/Unsigned.hs:588)
resize# (src/Clash/Sized/Internal/Unsigned.hs:691)
unsignedToWord (src/Clash/Sized/Internal/Unsigned.hs:800)
unsigned8toWord8 (src/Clash/Sized/Internal/Unsigned.hs:812)
unsigned16toWord16 (src/Clash/Sized/Internal/Unsigned.hs:827)
unsigned32toWord32 (src/Clash/Sized/Internal/Unsigned.hs:842)
100% ( 2 / 2) in 'Clash.Sized.Unsigned'
30% ( 18 / 60) in 'Clash.Sized.Internal.Signed'
Missing documentation for:
size# (src/Clash/Sized/Internal/Signed.hs:209)
pack# (src/Clash/Sized/Internal/Signed.hs:237)
unpack# (src/Clash/Sized/Internal/Signed.hs:244)
eq# (src/Clash/Sized/Internal/Signed.hs:258)
neq# (src/Clash/Sized/Internal/Signed.hs:264)
lt# (src/Clash/Sized/Internal/Signed.hs:273)
ge# (src/Clash/Sized/Internal/Signed.hs:273)
gt# (src/Clash/Sized/Internal/Signed.hs:273)
le# (src/Clash/Sized/Internal/Signed.hs:273)
toEnum# (src/Clash/Sized/Internal/Signed.hs:317)
fromEnum# (src/Clash/Sized/Internal/Signed.hs:323)
enumFrom# (src/Clash/Sized/Internal/Signed.hs:329)
enumFromThen# (src/Clash/Sized/Internal/Signed.hs:337)
enumFromTo# (src/Clash/Sized/Internal/Signed.hs:349)
enumFromThenTo# (src/Clash/Sized/Internal/Signed.hs:357)
minBound# (src/Clash/Sized/Internal/Signed.hs:370)
maxBound# (src/Clash/Sized/Internal/Signed.hs:379)
+# (src/Clash/Sized/Internal/Signed.hs:403)
-# (src/Clash/Sized/Internal/Signed.hs:403)
*# (src/Clash/Sized/Internal/Signed.hs:403)
negate# (src/Clash/Sized/Internal/Signed.hs:442)
abs# (src/Clash/Sized/Internal/Signed.hs:442)
fromInteger# (src/Clash/Sized/Internal/Signed.hs:466)
plus# (src/Clash/Sized/Internal/Signed.hs:488)
minus# (src/Clash/Sized/Internal/Signed.hs:488)
times# (src/Clash/Sized/Internal/Signed.hs:502)
quot# (src/Clash/Sized/Internal/Signed.hs:523)
rem# (src/Clash/Sized/Internal/Signed.hs:533)
div# (src/Clash/Sized/Internal/Signed.hs:539)
mod# (src/Clash/Sized/Internal/Signed.hs:549)
toInteger# (src/Clash/Sized/Internal/Signed.hs:555)
and# (src/Clash/Sized/Internal/Signed.hs:585)
or# (src/Clash/Sized/Internal/Signed.hs:585)
xor# (src/Clash/Sized/Internal/Signed.hs:585)
complement# (src/Clash/Sized/Internal/Signed.hs:613)
shiftL# (src/Clash/Sized/Internal/Signed.hs:619)
shiftR# (src/Clash/Sized/Internal/Signed.hs:619)
rotateL# (src/Clash/Sized/Internal/Signed.hs:619)
rotateR# (src/Clash/Sized/Internal/Signed.hs:619)
resize# (src/Clash/Sized/Internal/Signed.hs:701)
truncateB# (src/Clash/Sized/Internal/Signed.hs:721)
minBoundSym# (src/Clash/Sized/Internal/Signed.hs:853)
100% ( 2 / 2) in 'Clash.Sized.Signed'
100% ( 42 / 42) in 'Clash.Sized.Fixed'
100% ( 30 / 30) in 'Clash.Explicit.SimIO'
100% ( 6 / 6) in 'Clash.Explicit.ROM'
57% ( 8 / 14) in 'Clash.Class.HasDomain.HasSpecificDomain'
Missing documentation for:
Outro (src/Clash/Class/HasDomain/HasSpecificDomain.hs:36)
NotFoundError (src/Clash/Class/HasDomain/HasSpecificDomain.hs:46)
HasDomainWrapperResult (src/Clash/Class/HasDomain/HasSpecificDomain.hs:68)
Merge (src/Clash/Class/HasDomain/HasSpecificDomain.hs:80)
DomEqWorker (src/Clash/Class/HasDomain/HasSpecificDomain.hs:83)
ErrOnNotFound (src/Clash/Class/HasDomain/HasSpecificDomain.hs:109)
80% ( 4 / 5) in 'Clash.Annotations.SynthesisAttributes'
Missing documentation for:
Annotate (src/Clash/Annotations/SynthesisAttributes.hs:39)
100% ( 37 / 37) in 'Clash.Sized.RTree'
85% ( 6 / 7) in 'Clash.Signal.Bundle'
Missing documentation for:
vecBundle# (src/Clash/Signal/Bundle.hs:161)
100% ( 9 / 9) in 'Clash.Explicit.BlockRam.File'
87% ( 7 / 8) in 'Clash.Explicit.BlockRam.Model'
Missing documentation for:
TdpbramModelConfig (src/Clash/Explicit/BlockRam/Model.hs:203)
38% ( 5 / 13) in 'Clash.Class.HasDomain.HasSingleDomain'
Missing documentation for:
MissingInstance (src/Clash/Class/HasDomain/HasSingleDomain.hs:46)
Outro (src/Clash/Class/HasDomain/HasSingleDomain.hs:56)
NotFoundError (src/Clash/Class/HasDomain/HasSingleDomain.hs:75)
AmbiguousError (src/Clash/Class/HasDomain/HasSingleDomain.hs:83)
StuckErrorMsg (src/Clash/Class/HasDomain/HasSingleDomain.hs:92)
TryDomainResult (src/Clash/Class/HasDomain/HasSingleDomain.hs:115)
ErrOnConflict (src/Clash/Class/HasDomain/HasSingleDomain.hs:142)
TryDomain (src/Clash/Class/HasDomain/HasSingleDomain.hs:147)
57% ( 4 / 7) in 'Clash.Class.HasDomain'
Missing documentation for:
Module header
TryDomain (src/Clash/Class/HasDomain/HasSingleDomain.hs:147)
TryDomainResult (src/Clash/Class/HasDomain/HasSingleDomain.hs:115)
100% ( 10 / 10) in 'Clash.Signal.BiSignal'
96% (131 /136) in 'Clash.Explicit.Signal'
Missing documentation for:
Domain (src/Clash/Signal/Internal.hs:721)
KnownConfiguration (src/Clash/Signal/Internal.hs:462)
InitBehavior (src/Clash/Signal/Internal.hs:292)
SInitBehavior (src/Clash/Signal/Internal.hs:301)
ResetKind (src/Clash/Signal/Internal.hs:249)
100% ( 20 / 20) in 'Clash.Explicit.Signal.Delayed'
100% ( 8 / 8) in 'Clash.Explicit.ROM.File'
100% ( 6 / 6) in 'Clash.Explicit.RAM'
100% ( 6 / 6) in 'Clash.Explicit.Moore'
100% ( 6 / 6) in 'Clash.Explicit.Mealy'
100% ( 11 / 11) in 'Clash.Explicit.BlockRam.Blob'
100% ( 11 / 11) in 'Clash.Explicit.ROM.Blob'
94% ( 17 / 18) in 'Clash.Explicit.BlockRam'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:853)
100% ( 5 / 5) in 'Clash.Explicit.Synchronizer'
100% ( 28 / 28) in 'Clash.Explicit.Reset'
60% ( 3 / 5) in 'Clash.Clocks'
Missing documentation for:
ClocksSyncCxt (src/Clash/Clocks.hs:32)
NumOutClocksSync (src/Clash/Clocks.hs:40)
100% ( 22 / 22) in 'Clash.Xilinx.ClockGen'
100% ( 23 / 23) in 'Clash.Intel.ClockGen'
95% (162 /170) in 'Clash.Signal'
Missing documentation for:
Domain (src/Clash/Signal/Internal.hs:721)
KnownConfiguration (src/Clash/Signal/Internal.hs:462)
InitBehavior (src/Clash/Signal/Internal.hs:292)
SInitBehavior (src/Clash/Signal/Internal.hs:301)
ResetKind (src/Clash/Signal/Internal.hs:249)
HiddenClockName (src/Clash/Signal.hs:594)
HiddenResetName (src/Clash/Signal.hs:595)
HiddenEnableName (src/Clash/Signal.hs:596)
89% ( 26 / 29) in 'Clash.Verification'
Missing documentation for:
Assertion (src/Clash/Verification/Internal.hs:102)
check (src/Clash/Verification.hs:55)
checkI (src/Clash/Verification.hs:68)
0% ( 0 / 7) in 'Clash.Verification.DSL'
Missing documentation for:
Module header
|&| (src/Clash/Verification/DSL.hs:15)
||| (src/Clash/Verification/DSL.hs:20)
~> (src/Clash/Verification/DSL.hs:24)
|=> (src/Clash/Verification/DSL.hs:29)
|-> (src/Clash/Verification/DSL.hs:34)
#|# (src/Clash/Verification/DSL.hs:39)
100% ( 20 / 20) in 'Clash.Signal.Delayed'
100% ( 5 / 5) in 'Clash.Signal.Delayed.Bundle'
100% ( 11 / 11) in 'Clash.Prelude.ROM.File'
100% ( 14 / 14) in 'Clash.Prelude.ROM.Blob'
100% ( 9 / 9) in 'Clash.Prelude.ROM'
100% ( 4 / 4) in 'Clash.Prelude.RAM'
100% ( 6 / 6) in 'Clash.Prelude.Moore'
100% ( 7 / 7) in 'Clash.Prelude.Mealy'
100% ( 21 / 21) in 'Clash.Prelude.DataFlow'
100% ( 6 / 6) in 'Clash.Prelude.BlockRam.File'
100% ( 9 / 9) in 'Clash.Prelude.BlockRam.Blob'
92% ( 12 / 13) in 'Clash.Prelude.BlockRam'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:853)
94% ( 16 / 17) in 'Clash.Explicit.Testbench'
Missing documentation for:
outputVerifierWith (src/Clash/Explicit/Testbench.hs:345)
100% ( 12 / 12) in 'Clash.Prelude.Testbench'
66% ( 2 / 3) in 'Clash.Class.Counter.Internal'
Missing documentation for:
Module header
100% ( 4 / 4) in 'Clash.Class.Counter'
75% ( 3 / 4) in 'Clash.Class.AutoReg.Internal'
Missing documentation for:
deriveAutoRegTuples (src/Clash/Class/AutoReg/Internal.hs:468)
100% ( 3 / 3) in 'Clash.Class.AutoReg'
75% ( 3 / 4) in 'Clash.Class.Exp'
Missing documentation for:
ExpResult (src/Clash/Class/Exp.hs:30)
25% ( 1 / 4) in 'Clash.Num.Zeroing'
Missing documentation for:
Module header
fromZeroing (src/Clash/Num/Zeroing.hs:37)
toZeroing (src/Clash/Num/Zeroing.hs:56)
33% ( 1 / 3) in 'Clash.Num.Wrapping'
Missing documentation for:
Module header
toWrapping (src/Clash/Num/Wrapping.hs:56)
25% ( 1 / 4) in 'Clash.Num.Saturating'
Missing documentation for:
Module header
fromSaturating (src/Clash/Num/Saturating.hs:38)
toSaturating (src/Clash/Num/Saturating.hs:57)
83% ( 5 / 6) in 'Clash.Num.Overflowing'
Missing documentation for:
toOverflowing (src/Clash/Num/Overflowing.hs:50)
25% ( 1 / 4) in 'Clash.Num.Erroring'
Missing documentation for:
Module header
fromErroring (src/Clash/Num/Erroring.hs:38)
toErroring (src/Clash/Num/Erroring.hs:57)
100% ( 6 / 6) in 'Clash.Annotations.TopEntity'
96% (245 /255) in 'Clash.Explicit.Prelude.Safe'
Missing documentation for:
zipWith4 (src/Clash/Sized/Vector.hs:953)
zipWith5 (src/Clash/Sized/Vector.hs:969)
zipWith6 (src/Clash/Sized/Vector.hs:986)
zipWith7 (src/Clash/Sized/Vector.hs:1004)
traverse# (src/Clash/Sized/Vector.hs:370)
concatBitVector# (src/Clash/Sized/Vector.hs:2556)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2572)
SomeChar
charVal
charVal'
96% (247 /257) in 'Clash.Prelude.Safe'
Missing documentation for:
zipWith4 (src/Clash/Sized/Vector.hs:953)
zipWith5 (src/Clash/Sized/Vector.hs:969)
zipWith6 (src/Clash/Sized/Vector.hs:986)
zipWith7 (src/Clash/Sized/Vector.hs:1004)
traverse# (src/Clash/Sized/Vector.hs:370)
concatBitVector# (src/Clash/Sized/Vector.hs:2556)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2572)
SomeChar
charVal
charVal'
100% ( 9 / 9) in 'Clash.Annotations.TH'
86% ( 19 / 22) in 'Clash.Annotations.BitRepresentation.Deriving'
Missing documentation for:
deriveAnnotation (src/Clash/Annotations/BitRepresentation/Deriving.hs:283)
derivePackedAnnotation (src/Clash/Annotations/BitRepresentation/Deriving.hs:777)
packedMaybeDerivator (src/Clash/Annotations/BitRepresentation/Deriving.hs:634)
82% ( 23 / 28) in 'Clash.Signal.Trace'
Missing documentation for:
Period (src/Clash/Signal/Trace.hs:131)
Changed (src/Clash/Signal/Trace.hs:132)
Value (src/Clash/Signal/Trace.hs:133)
Width (src/Clash/Signal/Trace.hs:134)
TraceMap (src/Clash/Signal/Trace.hs:139)
96% (280 /291) in 'Clash.Explicit.Prelude'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:853)
zipWith4 (src/Clash/Sized/Vector.hs:953)
zipWith5 (src/Clash/Sized/Vector.hs:969)
zipWith6 (src/Clash/Sized/Vector.hs:986)
zipWith7 (src/Clash/Sized/Vector.hs:1004)
traverse# (src/Clash/Sized/Vector.hs:370)
concatBitVector# (src/Clash/Sized/Vector.hs:2556)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2572)
SomeChar
charVal
charVal'
Warning: Clash.Prelude: KnownConf is exported separately but will be documented under KnownDomain. Consider exporting it together with its parent(s) for code clarity.
Warning: Clash.Prelude: Unbundled is exported separately but will be documented under Bundle. Consider exporting it together with its parent(s) for code clarity.
96% (405 /421) in 'Clash.Prelude'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:853)
Domain (src/Clash/Signal/Internal.hs:721)
KnownConfiguration (src/Clash/Signal/Internal.hs:462)
InitBehavior (src/Clash/Signal/Internal.hs:292)
SInitBehavior (src/Clash/Signal/Internal.hs:301)
ResetKind (src/Clash/Signal/Internal.hs:249)
zipWith4 (src/Clash/Sized/Vector.hs:953)
zipWith5 (src/Clash/Sized/Vector.hs:969)
zipWith6 (src/Clash/Sized/Vector.hs:986)
zipWith7 (src/Clash/Sized/Vector.hs:1004)
traverse# (src/Clash/Sized/Vector.hs:370)
concatBitVector# (src/Clash/Sized/Vector.hs:2556)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2572)
SomeChar
charVal
charVal'
Warning: 'SNat' is ambiguous. It is defined
* at src/Clash/Promoted/Nat.hs:101:3
* in ‘GHC.TypeNats’
* at src/Clash/Promoted/Nat.hs:100:1
* in ‘GHC.TypeNats’
You may be able to disambiguate the identifier by qualifying it or
by specifying the type/value namespace explicitly.
Defaulting to the one defined at src/Clash/Promoted/Nat.hs:100:1
100% ( 43 / 43) in 'Clash.Tutorial'
2% ( 1 / 36) in 'Clash.Examples.Internal'
Missing documentation for:
decoderCase (src/Clash/Examples/Internal.hs:29)
decoderShift (src/Clash/Examples/Internal.hs:50)
encoderCase (src/Clash/Examples/Internal.hs:56)
upCounter (src/Clash/Examples/Internal.hs:77)
upCounterLdT (src/Clash/Examples/Internal.hs:85)
upCounterLd (src/Clash/Examples/Internal.hs:93)
upDownCounter (src/Clash/Examples/Internal.hs:99)
lfsrF' (src/Clash/Examples/Internal.hs:107)
lfsrF (src/Clash/Examples/Internal.hs:112)
lfsrGP (src/Clash/Examples/Internal.hs:118)
lfsrG (src/Clash/Examples/Internal.hs:129)
grayCounter (src/Clash/Examples/Internal.hs:136)
oneHotCounter (src/Clash/Examples/Internal.hs:143)
crcT (src/Clash/Examples/Internal.hs:151)
crc (src/Clash/Examples/Internal.hs:165)
RxReg (src/Clash/Examples/Internal.hs:175)
rx_sample_cnt (src/Clash/Examples/Internal.hs:189)
rx_reg (src/Clash/Examples/Internal.hs:189)
rx_over_run (src/Clash/Examples/Internal.hs:189)
rx_frame_err (src/Clash/Examples/Internal.hs:189)
rx_empty (src/Clash/Examples/Internal.hs:189)
rx_data (src/Clash/Examples/Internal.hs:189)
rx_d2 (src/Clash/Examples/Internal.hs:189)
rx_d1 (src/Clash/Examples/Internal.hs:189)
rx_cnt (src/Clash/Examples/Internal.hs:189)
rx_busy (src/Clash/Examples/Internal.hs:189)
TxReg (src/Clash/Examples/Internal.hs:191)
tx_reg (src/Clash/Examples/Internal.hs:201)
tx_over_run (src/Clash/Examples/Internal.hs:201)
tx_out (src/Clash/Examples/Internal.hs:201)
tx_empty (src/Clash/Examples/Internal.hs:201)
tx_cnt (src/Clash/Examples/Internal.hs:201)
uartTX (src/Clash/Examples/Internal.hs:203)
uartRX (src/Clash/Examples/Internal.hs:223)
uart (src/Clash/Examples/Internal.hs:263)
100% ( 9 / 9) in 'Clash.Examples'
66% ( 4 / 6) in 'Clash.Explicit.DDR'
Missing documentation for:
ddrIn# (src/Clash/Explicit/DDR.hs:81)
ddrOut# (src/Clash/Explicit/DDR.hs:166)
100% ( 3 / 3) in 'Clash.Xilinx.DDR'
100% ( 3 / 3) in 'Clash.Intel.DDR'
Warning: Clash.HaskellPrelude: could not find link destinations for:
- GHC.Prim.~#
- Test.QuickCheck.Random.QCGen
- System.Random.Internal.StdGen
- Test.QuickCheck.Property.Rose
- Data.Functor.Utils.StateT
- Data.Functor.Utils.StateR
- Data.Functor.Utils.StateL
- Data.Functor.Utils.Min
- Data.Functor.Utils.Max
- GHC.Generics.SingKind
- GHC.Generics.DemoteRep
- GHC.Generics.fromSing
- GHC.Generics.SingI
- GHC.Generics.sing
- GHC.Generics.Sing
- GHC.Generics.D:R:UReckWordp0
- GHC.Generics.D:R:UReckIntp0
- GHC.Generics.D:R:UReckFloatp0
- GHC.Generics.D:R:UReckDoublep0
- GHC.Generics.D:R:UReckCharp0
- Data.Foldable1.JoinWith
- Data.Foldable1.FromMaybe
- Data.Foldable1.NonEmptyDList
- Data.Tagged.Tagged
- Data.Profunctor.Rep.Representable
- Control.Monad.Trans.Free.FreeF
- Data.Functor.Bind.Class.Apply
- Data.Functor.Yoneda.Yoneda
- Data.Semigroup.Traversable.Class.Bitraversable1
- Data.HashSet.Internal.HashSet
- Data.HashMap.Internal.HashMap
- Control.Comonad.Cofree.Cofree
- Data.Fix.Mu
- Data.String.Interpolate.Conversion.Classes.B
- Data.Primitive.Array.Array
- Data.Vector.Unboxed.Base.V_Int
- Data.Vector.Unboxed.Base.V_Word
- Data.Vector.Unboxed.Base.V_Float
- Data.Vector.Unboxed.Base.V_Double
- Data.Vector.Unboxed.Base.V_Char
- Data.Vector.Unboxed.Base.V_Bool
- Text.ParserCombinators.ReadP.P
- GHC.Generics.D:R:SingBoola0
- GHC.Generics.STrue
- GHC.Generics.SFalse
- GHC.Generics.D:R:SingMaybeb0
- GHC.Generics.SNothing
- GHC.Generics.SJust
- Data.Set.Internal.MergeSet
- System.OsString.Internal.Types.OsString
- System.OsString.Internal.Types.PosixString
- System.OsString.Internal.Types.WindowsString
- System.OsString.Internal.Types.OsChar
- System.OsString.Internal.Types.PosixChar
- System.OsString.Internal.Types.WindowsChar
- Language.Haskell.Exts.Parser.ListOf
- Language.Haskell.Exts.Parser.ModuleHeadAndImports
- Language.Haskell.Exts.Parser.NonGreedy
- Language.Haskell.Exts.Parser.PragmasAndModuleHead
- Language.Haskell.Exts.Parser.PragmasAndModuleName
- Language.Haskell.Exts.SrcLoc.SrcSpanInfo
- Language.Haskell.Exts.Syntax.ModulePragma
- Language.Haskell.Exts.Syntax.ModuleHead
- Language.Haskell.Exts.Syntax.ImportDecl
- Language.Haskell.Exts.Syntax.ModuleName
- Language.Haskell.Exts.SrcLoc.SrcSpan
- Language.Haskell.Exts.Syntax.Decl
- Language.Haskell.Exts.SrcLoc.SrcLoc
- Language.Haskell.Exts.Syntax.Exp
- Language.Haskell.Exts.Syntax.Module
- Language.Haskell.Exts.Syntax.Pat
- Language.Haskell.Exts.Syntax.Stmt
- Language.Haskell.Exts.Syntax.Type
- Language.Haskell.Exts.SrcLoc.Loc
- Language.Haskell.Exts.Syntax.Activation
- Language.Haskell.Exts.Syntax.Alt
- Language.Haskell.Exts.Syntax.Annotation
- Language.Haskell.Exts.Syntax.Assoc
- Language.Haskell.Exts.Syntax.Asst
- Language.Haskell.Exts.Syntax.BangType
- Language.Haskell.Exts.Syntax.Binds
- Language.Haskell.Exts.Syntax.BooleanFormula
- Language.Haskell.Exts.Syntax.Boxed
- Language.Haskell.Exts.Syntax.Bracket
- Language.Haskell.Exts.Syntax.CName
- Language.Haskell.Exts.Syntax.CallConv
- Language.Haskell.Exts.Syntax.ClassDecl
- Language.Haskell.Exts.Syntax.ConDecl
- Language.Haskell.Exts.Syntax.Context
- Language.Haskell.Exts.Syntax.DataOrNew
- Language.Haskell.Exts.Syntax.DeclHead
- Language.Haskell.Exts.Syntax.DerivStrategy
- Language.Haskell.Exts.Syntax.Deriving
- Language.Haskell.Exts.Syntax.EWildcard
- Language.Haskell.Exts.Syntax.ExportSpec
- Language.Haskell.Exts.Syntax.ExportSpecList
- Language.Haskell.Exts.Syntax.FieldDecl
- Language.Haskell.Exts.Syntax.FieldUpdate
- Language.Haskell.Exts.Syntax.FunDep
- Language.Haskell.Exts.Syntax.GadtDecl
- Language.Haskell.Exts.Syntax.GuardedRhs
- Language.Haskell.Exts.Syntax.IPBind
- Language.Haskell.Exts.Syntax.IPName
- Language.Haskell.Exts.Syntax.ImportSpec
- Language.Haskell.Exts.Syntax.ImportSpecList
- Language.Haskell.Exts.Syntax.InjectivityInfo
- Language.Haskell.Exts.Syntax.InstDecl
- Language.Haskell.Exts.Syntax.InstHead
- Language.Haskell.Exts.Syntax.InstRule
- Language.Haskell.Exts.Syntax.Literal
- Language.Haskell.Exts.Syntax.Match
- Language.Haskell.Exts.Syntax.MaybePromotedName
- Language.Haskell.Exts.Syntax.Name
- Language.Haskell.Exts.Syntax.Namespace
- Language.Haskell.Exts.Syntax.Op
- Language.Haskell.Exts.Syntax.Overlap
- Language.Haskell.Exts.Syntax.PXAttr
- Language.Haskell.Exts.Syntax.PatField
- Language.Haskell.Exts.Syntax.PatternSynDirection
- Language.Haskell.Exts.Syntax.Promoted
- Language.Haskell.Exts.Syntax.QName
- Language.Haskell.Exts.Syntax.QOp
- Language.Haskell.Exts.Syntax.QualConDecl
- Language.Haskell.Exts.Syntax.QualStmt
- Language.Haskell.Exts.Syntax.RPat
- Language.Haskell.Exts.Syntax.RPatOp
- Language.Haskell.Exts.Syntax.ResultSig
- Language.Haskell.Exts.Syntax.Rhs
- Language.Haskell.Exts.Syntax.Role
- Language.Haskell.Exts.Syntax.Rule
- Language.Haskell.Exts.Syntax.RuleVar
- Language.Haskell.Exts.Syntax.Safety
- Language.Haskell.Exts.Syntax.Sign
- Language.Haskell.Exts.Syntax.SpecialCon
- Language.Haskell.Exts.Syntax.Splice
- Language.Haskell.Exts.Syntax.Tool
- Language.Haskell.Exts.Syntax.TyVarBind
- Language.Haskell.Exts.Syntax.TypeEqn
- Language.Haskell.Exts.Syntax.Unpackedness
- Language.Haskell.Exts.Syntax.WarningText
- Language.Haskell.Exts.Syntax.XAttr
- Language.Haskell.Exts.Syntax.XName
- System.Random.Internal.StateGen
- System.Random.Internal.StatefulGen
- System.Random.Internal.Uniform
- System.Random.Internal.uniformM
- System.Random.Internal.UniformRange
- System.Random.Internal.uniformRM
- Control.Lens.At.At
- Control.Lens.At.at
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Cons.Cons
- Control.Lens.Cons._Cons
- Control.Lens.Cons.Snoc
- Control.Lens.Cons._Snoc
- Control.Lens.Each.Each
- Control.Lens.Each.each
- Control.Lens.Empty.AsEmpty
- Control.Lens.Empty._Empty
- Control.Lens.Internal.Bazaar.Bazaar
- Control.Lens.Internal.Bazaar.Bazaar1
- Control.Lens.Internal.Context.Context
- Control.Lens.Internal.FieldTH.DefName
- Control.Lens.Internal.Fold.Leftmost
- Control.Lens.Internal.Fold.Rightmost
- Control.Lens.Internal.Fold.Sequenced
- Control.Lens.Internal.Fold.Traversed
- Control.Lens.Internal.Indexed.Indexed
- Control.Lens.Internal.Level.Level
- Control.Lens.Internal.Magma.Magma
- Control.Lens.Reified.ReifiedFold
- Control.Lens.Reified.ReifiedGetter
- Control.Lens.Reified.ReifiedIndexedFold
- Control.Lens.Reified.ReifiedIndexedGetter
- Control.Lens.Traversal.TraverseMax
- Control.Lens.Traversal.traverseMax
- Control.Lens.Traversal.TraverseMin
- Control.Lens.Traversal.traverseMin
- Control.Lens.Type.IndexedTraversal'
- Control.Lens.Type.Lens'
- Control.Lens.Type.Prism
- Control.Lens.Type.Prism'
- Control.Lens.Type.Traversal
- Control.Lens.Type.Traversal'
- Data.HashMap.Internal.Leaf
- Control.Monad.Primitive.primitive
- Data.Vector.Unboxed.Base.D:R:MVectorsBool0
- Data.Vector.Unboxed.Base.D:R:VectorBool0
- Data.Vector.Unboxed.Base.D:R:MVectorsInt0
- Data.Vector.Unboxed.Base.D:R:VectorInt0
- Data.Functor.Rep.Co
- Data.Functor.Rep.Representable
- Control.Comonad.Comonad
- Data.Bifunctor.Biff.Biff
- Data.Biapplicative.Biapplicative
- Data.Bifunctor.Clown.Clown
- Data.Bifunctor.Fix.Fix
- Data.Bifunctor.Flip.Flip
- Data.Bifunctor.Join.Join
- Data.Bifunctor.Joker.Joker
- Data.Bifunctor.Product.Product
- Data.Bifunctor.Sum.Sum
- Data.Bifunctor.Tannen.Tannen
- Data.Bifunctor.Wrapped.WrappedBifunctor
- Control.Comonad.Trans.Cofree.CofreeF
- Control.Comonad.Trans.Cofree.CofreeT
- Control.Monad.Free.Free
- Control.Monad.Trans.Free.FreeT
- Data.Functor.Day.Day
- Data.Profunctor.Composition.Procompose
- Control.Lens.Internal.Indexed.Indexing
- Control.Lens.Internal.Indexed.Indexing64
- Data.Profunctor.Rep.Rep
- Data.Profunctor.Rep.Coprep
- Data.Profunctor.Rep.Prep
- Control.Comonad.Cokleisli
- Data.Strict.Tuple.Pair
- Control.Lens.Internal.Iso.Exchange
- Control.Monad.Primitive.PrimBase
- Control.Monad.Primitive.internal
- Data.Primitive.Array.MutableArray
- Data.Primitive.PrimArray.MutablePrimArray
- Data.Primitive.PrimArray.PrimArray
- Data.Primitive.SmallArray.SmallArray
- Data.Primitive.SmallArray.SmallMutableArray
- Data.Profunctor.Composition.Rift
- Data.Strict.Either.Either
- Data.Strict.Maybe.Maybe
- Data.Strict.These.These
- Data.These.These
- Data.Vector.Unboxed.Base.D:R:MVectorsChar0
- Data.Vector.Unboxed.Base.D:R:MVectorsDouble0
- Data.Vector.Unboxed.Base.D:R:MVectorsFloat0
- Data.Vector.Unboxed.Base.D:R:MVectorsWord0
- Data.Vector.Unboxed.Base.D:R:VectorChar0
- Data.Vector.Unboxed.Base.D:R:VectorDouble0
- Data.Vector.Unboxed.Base.D:R:VectorFloat0
- Data.Vector.Unboxed.Base.D:R:VectorWord0
- Text.Printf.UPrintf
- Text.Printf.hspr
- Text.Printf.spr
- Fcf.Class.Bifunctor.Bimap
- Fcf.Class.Foldable.All
- Fcf.Class.Foldable.And
- Fcf.Class.Foldable.Any
- Fcf.Class.Foldable.FoldMap
- Fcf.Class.Foldable.Foldr
- Fcf.Class.Foldable.Or
- Fcf.Data.Function.Bicomap
- Fcf.Data.Bool.&&
- Fcf.Data.Bool.||
- Fcf.Data.Nat.+
- Fcf.Class.Monoid.MEmpty
- Fcf.Class.Monoid.<>
- Fcf.Combinators.<=<
- Fcf.Class.Functor.Map
- Fcf.Combinators.=<<
- Fcf.Data.Bool.Not
- Fcf.Data.Common.Fst
- Fcf.Data.Common.IsJust
- Fcf.Data.Common.IsLeft
- Fcf.Data.Common.IsNothing
- Fcf.Data.Common.IsRight
- Fcf.Data.Common.Snd
- Fcf.Data.List.Cons
- Fcf.Data.List.Elem
- Fcf.Data.List.Find
- Fcf.Data.List.FindIndex
- Fcf.Data.List.Head
- Fcf.Data.List.Init
- Fcf.Data.List.IsInfixOf
- Fcf.Data.List.IsPrefixOf
- Fcf.Data.List.IsSuffixOf
- Fcf.Data.List.Last
- Fcf.Data.List.Lookup
- Fcf.Data.List.Null
- Fcf.Data.List.Reverse
- Fcf.Data.List.Tail
- Fcf.Data.List.Tails
- Fcf.Utils.TyEq
- Fcf.Data.List.IsPrefixOf_
- Fcf.Core.@@
- Fcf.Data.List.NumIter
- Fcf.Data.Nat.>
- Fcf.Data.Nat.<
- Fcf.Data.Nat.<=
- Fcf.Data.Nat.>=
- Fcf.Utils.TyEqImpl
- Control.Comonad.Trans.Env.EnvT
- Data.Fix.Fix
- Data.Fix.Nu
- WithIndex.Foldable1WithIndex
- WithIndex.ifoldMap1
- WithIndex.ifoldMap1'
- WithIndex.ifoldlMap1
- WithIndex.ifoldlMap1'
- WithIndex.ifoldrMap1
- WithIndex.ifoldrMap1'
- WithIndex.FromMaybe
- WithIndex.Indexing
- WithIndex.Sequenced
- WithIndex.Traversed
- Control.Lens.Internal.Bazaar.BazaarT
- Control.Lens.Internal.Bazaar.BazaarT1
- Control.Lens.Internal.Bazaar.Bizarre
- Control.Lens.Internal.Bazaar.bazaar
- Control.Lens.Internal.Context.Pretext
- Control.Lens.Internal.Context.PretextT
- Control.Lens.Internal.Fold.Folding
- Control.Lens.Internal.Fold.NonEmptyDList
- Control.Lens.Internal.Fold.TraversedF
- Control.Lens.Internal.Level.Deepening
- Control.Lens.Internal.Level.Flows
- Control.Lens.Internal.Magma.Mafic
- Control.Lens.Internal.Magma.Molten
- Control.Lens.Internal.Magma.TakingWhile
- Control.Lens.Lens.First1
- Control.Lens.Traversal.Holes
- Data.Semigroup.Traversable.Class.bitraverse1
- Data.Profunctor.Choice.CopastroSum
- Data.Profunctor.Choice.CotambaraSum
- Data.Profunctor.Choice.PastroSum
- Data.Profunctor.Choice.TambaraSum
- Data.Semigroup.Traversable.Class.bisequence1
Warning: Clash.Sized.Internal.BitVector: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.BitVector: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.Internal.Unsigned: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.Unsigned: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.Internal.Signed: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.Signed: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.Vector: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Sized.RTree: could not find link destinations for:
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
Warning: Clash.Explicit.Prelude.Safe: could not find link destinations for:
- GHC.Generics.SingKind
- GHC.Generics.DemoteRep
- GHC.Generics.fromSing
- GHC.Generics.SingI
- GHC.Generics.sing
- GHC.Generics.Sing
- Data.Tagged.Tagged
- Control.Monad.Trans.Free.FreeF
- Control.Comonad.Cofree.Cofree
- GHC.Generics.D:R:SingSymbols0
- GHC.Generics.SSym
- Data.Type.Ord.LeErrMsg
- System.OsString.Internal.Types.OsString
- System.OsString.Internal.Types.PosixString
- System.OsString.Internal.Types.WindowsString
- System.OsString.Internal.Types.OsChar
- System.OsString.Internal.Types.PosixChar
- System.OsString.Internal.Types.WindowsChar
- Language.Haskell.Exts.SrcLoc.SrcSpanInfo
- Language.Haskell.Exts.Syntax.ModulePragma
- Language.Haskell.Exts.Syntax.ModuleHead
- Language.Haskell.Exts.Syntax.ImportDecl
- Language.Haskell.Exts.Syntax.ModuleName
- Language.Haskell.Exts.SrcLoc.SrcSpan
- Language.Haskell.Exts.Syntax.Decl
- Language.Haskell.Exts.SrcLoc.SrcLoc
- Language.Haskell.Exts.Syntax.Exp
- Language.Haskell.Exts.Syntax.Module
- Language.Haskell.Exts.Syntax.Pat
- Language.Haskell.Exts.Syntax.Stmt
- Language.Haskell.Exts.Syntax.Type
- Language.Haskell.Exts.SrcLoc.Loc
- Language.Haskell.Exts.Syntax.Activation
- Language.Haskell.Exts.Syntax.Alt
- Language.Haskell.Exts.Syntax.Annotation
- Language.Haskell.Exts.Syntax.Assoc
- Language.Haskell.Exts.Syntax.Asst
- Language.Haskell.Exts.Syntax.BangType
- Language.Haskell.Exts.Syntax.Binds
- Language.Haskell.Exts.Syntax.BooleanFormula
- Language.Haskell.Exts.Syntax.Boxed
- Language.Haskell.Exts.Syntax.Bracket
- Language.Haskell.Exts.Syntax.CName
- Language.Haskell.Exts.Syntax.CallConv
- Language.Haskell.Exts.Syntax.ClassDecl
- Language.Haskell.Exts.Syntax.ConDecl
- Language.Haskell.Exts.Syntax.Context
- Language.Haskell.Exts.Syntax.DataOrNew
- Language.Haskell.Exts.Syntax.DeclHead
- Language.Haskell.Exts.Syntax.DerivStrategy
- Language.Haskell.Exts.Syntax.Deriving
- Language.Haskell.Exts.Syntax.EWildcard
- Language.Haskell.Exts.Syntax.ExportSpec
- Language.Haskell.Exts.Syntax.ExportSpecList
- Language.Haskell.Exts.Syntax.FieldDecl
- Language.Haskell.Exts.Syntax.FieldUpdate
- Language.Haskell.Exts.Syntax.FunDep
- Language.Haskell.Exts.Syntax.GadtDecl
- Language.Haskell.Exts.Syntax.GuardedRhs
- Language.Haskell.Exts.Syntax.IPBind
- Language.Haskell.Exts.Syntax.IPName
- Language.Haskell.Exts.Syntax.ImportSpec
- Language.Haskell.Exts.Syntax.ImportSpecList
- Language.Haskell.Exts.Syntax.InjectivityInfo
- Language.Haskell.Exts.Syntax.InstDecl
- Language.Haskell.Exts.Syntax.InstHead
- Language.Haskell.Exts.Syntax.InstRule
- Language.Haskell.Exts.Syntax.Literal
- Language.Haskell.Exts.Syntax.Match
- Language.Haskell.Exts.Syntax.MaybePromotedName
- Language.Haskell.Exts.Syntax.Name
- Language.Haskell.Exts.Syntax.Namespace
- Language.Haskell.Exts.Syntax.Op
- Language.Haskell.Exts.Syntax.Overlap
- Language.Haskell.Exts.Syntax.PXAttr
- Language.Haskell.Exts.Syntax.PatField
- Language.Haskell.Exts.Syntax.PatternSynDirection
- Language.Haskell.Exts.Syntax.Promoted
- Language.Haskell.Exts.Syntax.QName
- Language.Haskell.Exts.Syntax.QOp
- Language.Haskell.Exts.Syntax.QualConDecl
- Language.Haskell.Exts.Syntax.QualStmt
- Language.Haskell.Exts.Syntax.RPat
- Language.Haskell.Exts.Syntax.RPatOp
- Language.Haskell.Exts.Syntax.ResultSig
- Language.Haskell.Exts.Syntax.Rhs
- Language.Haskell.Exts.Syntax.Role
- Language.Haskell.Exts.Syntax.Rule
- Language.Haskell.Exts.Syntax.RuleVar
- Language.Haskell.Exts.Syntax.Safety
- Language.Haskell.Exts.Syntax.Sign
- Language.Haskell.Exts.Syntax.SpecialCon
- Language.Haskell.Exts.Syntax.Splice
- Language.Haskell.Exts.Syntax.Tool
- Language.Haskell.Exts.Syntax.TyVarBind
- Language.Haskell.Exts.Syntax.TypeEqn
- Language.Haskell.Exts.Syntax.Unpackedness
- Language.Haskell.Exts.Syntax.WarningText
- Language.Haskell.Exts.Syntax.XAttr
- Language.Haskell.Exts.Syntax.XName
- System.Random.Internal.StatefulGen
- System.Random.Internal.UniformRange
- System.Random.Internal.uniformRM
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
- Control.Comonad.Comonad
- Data.Bifunctor.Biff.Biff
- Data.Bifunctor.Clown.Clown
- Data.Bifunctor.Fix.Fix
- Data.Bifunctor.Flip.Flip
- Data.Bifunctor.Join.Join
- Data.Bifunctor.Joker.Joker
- Data.Bifunctor.Product.Product
- Data.Bifunctor.Sum.Sum
- Data.Bifunctor.Tannen.Tannen
- Data.Bifunctor.Wrapped.WrappedBifunctor
- Control.Comonad.Trans.Cofree.CofreeF
- Control.Monad.Free.Free
- Data.Strict.Tuple.Pair
- Data.Strict.Either.Either
- Data.Strict.Maybe.Maybe
- Data.Strict.These.These
- Data.These.These
- Fcf.Class.Foldable.Foldr
- Fcf.Class.Foldable.Sum
- Fcf.Data.Nat.+
- Fcf.Class.Monoid.MEmpty
- Fcf.Class.Monoid.<>
- Fcf.Class.Functor.Map
- Fcf.Combinators.=<<
- Fcf.Data.List.FindIndex
- Fcf.Data.List.Length
- Fcf.Data.List.NumIter
- Fcf.Data.Nat.>
- Fcf.Data.Nat.*
- Fcf.Data.Nat.-
- Fcf.Data.Nat.^
- Control.Comonad.Trans.Env.EnvT
- Data.Fix.Fix
Warning: Clash.Prelude.Safe: could not find link destinations for:
- GHC.Generics.SingKind
- GHC.Generics.DemoteRep
- GHC.Generics.fromSing
- GHC.Generics.SingI
- GHC.Generics.sing
- GHC.Generics.Sing
- Data.Tagged.Tagged
- Control.Monad.Trans.Free.FreeF
- Control.Comonad.Cofree.Cofree
- GHC.Generics.D:R:SingSymbols0
- GHC.Generics.SSym
- Data.Type.Ord.LeErrMsg
- System.OsString.Internal.Types.OsString
- System.OsString.Internal.Types.PosixString
- System.OsString.Internal.Types.WindowsString
- System.OsString.Internal.Types.OsChar
- System.OsString.Internal.Types.PosixChar
- System.OsString.Internal.Types.WindowsChar
- Language.Haskell.Exts.SrcLoc.SrcSpanInfo
- Language.Haskell.Exts.Syntax.ModulePragma
- Language.Haskell.Exts.Syntax.ModuleHead
- Language.Haskell.Exts.Syntax.ImportDecl
- Language.Haskell.Exts.Syntax.ModuleName
- Language.Haskell.Exts.SrcLoc.SrcSpan
- Language.Haskell.Exts.Syntax.Decl
- Language.Haskell.Exts.SrcLoc.SrcLoc
- Language.Haskell.Exts.Syntax.Exp
- Language.Haskell.Exts.Syntax.Module
- Language.Haskell.Exts.Syntax.Pat
- Language.Haskell.Exts.Syntax.Stmt
- Language.Haskell.Exts.Syntax.Type
- Language.Haskell.Exts.SrcLoc.Loc
- Language.Haskell.Exts.Syntax.Activation
- Language.Haskell.Exts.Syntax.Alt
- Language.Haskell.Exts.Syntax.Annotation
- Language.Haskell.Exts.Syntax.Assoc
- Language.Haskell.Exts.Syntax.Asst
- Language.Haskell.Exts.Syntax.BangType
- Language.Haskell.Exts.Syntax.Binds
- Language.Haskell.Exts.Syntax.BooleanFormula
- Language.Haskell.Exts.Syntax.Boxed
- Language.Haskell.Exts.Syntax.Bracket
- Language.Haskell.Exts.Syntax.CName
- Language.Haskell.Exts.Syntax.CallConv
- Language.Haskell.Exts.Syntax.ClassDecl
- Language.Haskell.Exts.Syntax.ConDecl
- Language.Haskell.Exts.Syntax.Context
- Language.Haskell.Exts.Syntax.DataOrNew
- Language.Haskell.Exts.Syntax.DeclHead
- Language.Haskell.Exts.Syntax.DerivStrategy
- Language.Haskell.Exts.Syntax.Deriving
- Language.Haskell.Exts.Syntax.EWildcard
- Language.Haskell.Exts.Syntax.ExportSpec
- Language.Haskell.Exts.Syntax.ExportSpecList
- Language.Haskell.Exts.Syntax.FieldDecl
- Language.Haskell.Exts.Syntax.FieldUpdate
- Language.Haskell.Exts.Syntax.FunDep
- Language.Haskell.Exts.Syntax.GadtDecl
- Language.Haskell.Exts.Syntax.GuardedRhs
- Language.Haskell.Exts.Syntax.IPBind
- Language.Haskell.Exts.Syntax.IPName
- Language.Haskell.Exts.Syntax.ImportSpec
- Language.Haskell.Exts.Syntax.ImportSpecList
- Language.Haskell.Exts.Syntax.InjectivityInfo
- Language.Haskell.Exts.Syntax.InstDecl
- Language.Haskell.Exts.Syntax.InstHead
- Language.Haskell.Exts.Syntax.InstRule
- Language.Haskell.Exts.Syntax.Literal
- Language.Haskell.Exts.Syntax.Match
- Language.Haskell.Exts.Syntax.MaybePromotedName
- Language.Haskell.Exts.Syntax.Name
- Language.Haskell.Exts.Syntax.Namespace
- Language.Haskell.Exts.Syntax.Op
- Language.Haskell.Exts.Syntax.Overlap
- Language.Haskell.Exts.Syntax.PXAttr
- Language.Haskell.Exts.Syntax.PatField
- Language.Haskell.Exts.Syntax.PatternSynDirection
- Language.Haskell.Exts.Syntax.Promoted
- Language.Haskell.Exts.Syntax.QName
- Language.Haskell.Exts.Syntax.QOp
- Language.Haskell.Exts.Syntax.QualConDecl
- Language.Haskell.Exts.Syntax.QualStmt
- Language.Haskell.Exts.Syntax.RPat
- Language.Haskell.Exts.Syntax.RPatOp
- Language.Haskell.Exts.Syntax.ResultSig
- Language.Haskell.Exts.Syntax.Rhs
- Language.Haskell.Exts.Syntax.Role
- Language.Haskell.Exts.Syntax.Rule
- Language.Haskell.Exts.Syntax.RuleVar
- Language.Haskell.Exts.Syntax.Safety
- Language.Haskell.Exts.Syntax.Sign
- Language.Haskell.Exts.Syntax.SpecialCon
- Language.Haskell.Exts.Syntax.Splice
- Language.Haskell.Exts.Syntax.Tool
- Language.Haskell.Exts.Syntax.TyVarBind
- Language.Haskell.Exts.Syntax.TypeEqn
- Language.Haskell.Exts.Syntax.Unpackedness
- Language.Haskell.Exts.Syntax.WarningText
- Language.Haskell.Exts.Syntax.XAttr
- Language.Haskell.Exts.Syntax.XName
- System.Random.Internal.StatefulGen
- System.Random.Internal.UniformRange
- System.Random.Internal.uniformRM
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
- Control.Comonad.Comonad
- Data.Bifunctor.Biff.Biff
- Data.Bifunctor.Clown.Clown
- Data.Bifunctor.Fix.Fix
- Data.Bifunctor.Flip.Flip
- Data.Bifunctor.Join.Join
- Data.Bifunctor.Joker.Joker
- Data.Bifunctor.Product.Product
- Data.Bifunctor.Sum.Sum
- Data.Bifunctor.Tannen.Tannen
- Data.Bifunctor.Wrapped.WrappedBifunctor
- Control.Comonad.Trans.Cofree.CofreeF
- Control.Monad.Free.Free
- Data.Strict.Tuple.Pair
- Data.Strict.Either.Either
- Data.Strict.Maybe.Maybe
- Data.Strict.These.These
- Data.These.These
- Fcf.Class.Foldable.Foldr
- Fcf.Class.Foldable.Sum
- Fcf.Data.Nat.+
- Fcf.Class.Monoid.MEmpty
- Fcf.Class.Monoid.<>
- Fcf.Class.Functor.Map
- Fcf.Combinators.=<<
- Fcf.Data.List.FindIndex
- Fcf.Data.List.Length
- Fcf.Data.List.NumIter
- Fcf.Data.Nat.>
- Fcf.Data.Nat.*
- Fcf.Data.Nat.-
- Fcf.Data.Nat.^
- Control.Comonad.Trans.Env.EnvT
- Data.Fix.Fix
Warning: Clash.Annotations.TH: could not find link destinations for:
- Control.Comonad.Comonad
- Control.Comonad.Trans.Env.EnvT
Warning: Clash.Explicit.Prelude: could not find link destinations for:
- GHC.Generics.SingKind
- GHC.Generics.DemoteRep
- GHC.Generics.fromSing
- GHC.Generics.SingI
- GHC.Generics.sing
- GHC.Generics.Sing
- Data.Tagged.Tagged
- Control.Monad.Trans.Free.FreeF
- Data.HashSet.Internal.HashSet
- Data.HashMap.Internal.HashMap
- Control.Comonad.Cofree.Cofree
- Data.Primitive.Array.Array
- GHC.Generics.D:R:SingSymbols0
- GHC.Generics.SSym
- Data.Type.Ord.LeErrMsg
- System.OsString.Internal.Types.OsString
- System.OsString.Internal.Types.PosixString
- System.OsString.Internal.Types.WindowsString
- System.OsString.Internal.Types.OsChar
- System.OsString.Internal.Types.PosixChar
- System.OsString.Internal.Types.WindowsChar
- Language.Haskell.Exts.SrcLoc.SrcSpanInfo
- Language.Haskell.Exts.Syntax.ModulePragma
- Language.Haskell.Exts.Syntax.ModuleHead
- Language.Haskell.Exts.Syntax.ImportDecl
- Language.Haskell.Exts.Syntax.ModuleName
- Language.Haskell.Exts.SrcLoc.SrcSpan
- Language.Haskell.Exts.Syntax.Decl
- Language.Haskell.Exts.SrcLoc.SrcLoc
- Language.Haskell.Exts.Syntax.Exp
- Language.Haskell.Exts.Syntax.Module
- Language.Haskell.Exts.Syntax.Pat
- Language.Haskell.Exts.Syntax.Stmt
- Language.Haskell.Exts.Syntax.Type
- Language.Haskell.Exts.SrcLoc.Loc
- Language.Haskell.Exts.Syntax.Activation
- Language.Haskell.Exts.Syntax.Alt
- Language.Haskell.Exts.Syntax.Annotation
- Language.Haskell.Exts.Syntax.Assoc
- Language.Haskell.Exts.Syntax.Asst
- Language.Haskell.Exts.Syntax.BangType
- Language.Haskell.Exts.Syntax.Binds
- Language.Haskell.Exts.Syntax.BooleanFormula
- Language.Haskell.Exts.Syntax.Boxed
- Language.Haskell.Exts.Syntax.Bracket
- Language.Haskell.Exts.Syntax.CName
- Language.Haskell.Exts.Syntax.CallConv
- Language.Haskell.Exts.Syntax.ClassDecl
- Language.Haskell.Exts.Syntax.ConDecl
- Language.Haskell.Exts.Syntax.Context
- Language.Haskell.Exts.Syntax.DataOrNew
- Language.Haskell.Exts.Syntax.DeclHead
- Language.Haskell.Exts.Syntax.DerivStrategy
- Language.Haskell.Exts.Syntax.Deriving
- Language.Haskell.Exts.Syntax.EWildcard
- Language.Haskell.Exts.Syntax.ExportSpec
- Language.Haskell.Exts.Syntax.ExportSpecList
- Language.Haskell.Exts.Syntax.FieldDecl
- Language.Haskell.Exts.Syntax.FieldUpdate
- Language.Haskell.Exts.Syntax.FunDep
- Language.Haskell.Exts.Syntax.GadtDecl
- Language.Haskell.Exts.Syntax.GuardedRhs
- Language.Haskell.Exts.Syntax.IPBind
- Language.Haskell.Exts.Syntax.IPName
- Language.Haskell.Exts.Syntax.ImportSpec
- Language.Haskell.Exts.Syntax.ImportSpecList
- Language.Haskell.Exts.Syntax.InjectivityInfo
- Language.Haskell.Exts.Syntax.InstDecl
- Language.Haskell.Exts.Syntax.InstHead
- Language.Haskell.Exts.Syntax.InstRule
- Language.Haskell.Exts.Syntax.Literal
- Language.Haskell.Exts.Syntax.Match
- Language.Haskell.Exts.Syntax.MaybePromotedName
- Language.Haskell.Exts.Syntax.Name
- Language.Haskell.Exts.Syntax.Namespace
- Language.Haskell.Exts.Syntax.Op
- Language.Haskell.Exts.Syntax.Overlap
- Language.Haskell.Exts.Syntax.PXAttr
- Language.Haskell.Exts.Syntax.PatField
- Language.Haskell.Exts.Syntax.PatternSynDirection
- Language.Haskell.Exts.Syntax.Promoted
- Language.Haskell.Exts.Syntax.QName
- Language.Haskell.Exts.Syntax.QOp
- Language.Haskell.Exts.Syntax.QualConDecl
- Language.Haskell.Exts.Syntax.QualStmt
- Language.Haskell.Exts.Syntax.RPat
- Language.Haskell.Exts.Syntax.RPatOp
- Language.Haskell.Exts.Syntax.ResultSig
- Language.Haskell.Exts.Syntax.Rhs
- Language.Haskell.Exts.Syntax.Role
- Language.Haskell.Exts.Syntax.Rule
- Language.Haskell.Exts.Syntax.RuleVar
- Language.Haskell.Exts.Syntax.Safety
- Language.Haskell.Exts.Syntax.Sign
- Language.Haskell.Exts.Syntax.SpecialCon
- Language.Haskell.Exts.Syntax.Splice
- Language.Haskell.Exts.Syntax.Tool
- Language.Haskell.Exts.Syntax.TyVarBind
- Language.Haskell.Exts.Syntax.TypeEqn
- Language.Haskell.Exts.Syntax.Unpackedness
- Language.Haskell.Exts.Syntax.WarningText
- Language.Haskell.Exts.Syntax.XAttr
- Language.Haskell.Exts.Syntax.XName
- System.Random.Internal.StatefulGen
- System.Random.Internal.UniformRange
- System.Random.Internal.uniformRM
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
- Data.HashMap.Internal.Leaf
- Control.Comonad.Comonad
- Data.Bifunctor.Biff.Biff
- Data.Bifunctor.Clown.Clown
- Data.Bifunctor.Fix.Fix
- Data.Bifunctor.Flip.Flip
- Data.Bifunctor.Join.Join
- Data.Bifunctor.Joker.Joker
- Data.Bifunctor.Product.Product
- Data.Bifunctor.Sum.Sum
- Data.Bifunctor.Tannen.Tannen
- Data.Bifunctor.Wrapped.WrappedBifunctor
- Control.Comonad.Trans.Cofree.CofreeF
- Control.Monad.Free.Free
- Data.Strict.Tuple.Pair
- Data.Primitive.PrimArray.PrimArray
- Data.Primitive.SmallArray.SmallArray
- Data.Strict.Either.Either
- Data.Strict.Maybe.Maybe
- Data.Strict.These.These
- Data.These.These
- Fcf.Class.Foldable.Foldr
- Fcf.Class.Foldable.Sum
- Fcf.Data.Nat.+
- Fcf.Class.Monoid.MEmpty
- Fcf.Class.Monoid.<>
- Fcf.Class.Functor.Map
- Fcf.Combinators.=<<
- Fcf.Data.List.FindIndex
- Fcf.Data.List.Length
- Fcf.Data.List.NumIter
- Fcf.Data.Nat.>
- Fcf.Data.Nat.*
- Fcf.Data.Nat.-
- Fcf.Data.Nat.^
- Control.Comonad.Trans.Env.EnvT
- Data.Fix.Fix
Warning: Clash.Prelude: could not find link destinations for:
- GHC.Generics.SingKind
- GHC.Generics.DemoteRep
- GHC.Generics.fromSing
- GHC.Generics.SingI
- GHC.Generics.sing
- GHC.Generics.Sing
- Data.Tagged.Tagged
- Control.Monad.Trans.Free.FreeF
- Data.HashSet.Internal.HashSet
- Data.HashMap.Internal.HashMap
- Control.Comonad.Cofree.Cofree
- Data.Primitive.Array.Array
- GHC.Generics.D:R:SingSymbols0
- GHC.Generics.SSym
- Data.Type.Ord.LeErrMsg
- System.OsString.Internal.Types.OsString
- System.OsString.Internal.Types.PosixString
- System.OsString.Internal.Types.WindowsString
- System.OsString.Internal.Types.OsChar
- System.OsString.Internal.Types.PosixChar
- System.OsString.Internal.Types.WindowsChar
- Language.Haskell.Exts.SrcLoc.SrcSpanInfo
- Language.Haskell.Exts.Syntax.ModulePragma
- Language.Haskell.Exts.Syntax.ModuleHead
- Language.Haskell.Exts.Syntax.ImportDecl
- Language.Haskell.Exts.Syntax.ModuleName
- Language.Haskell.Exts.SrcLoc.SrcSpan
- Language.Haskell.Exts.Syntax.Decl
- Language.Haskell.Exts.SrcLoc.SrcLoc
- Language.Haskell.Exts.Syntax.Exp
- Language.Haskell.Exts.Syntax.Module
- Language.Haskell.Exts.Syntax.Pat
- Language.Haskell.Exts.Syntax.Stmt
- Language.Haskell.Exts.Syntax.Type
- Language.Haskell.Exts.SrcLoc.Loc
- Language.Haskell.Exts.Syntax.Activation
- Language.Haskell.Exts.Syntax.Alt
- Language.Haskell.Exts.Syntax.Annotation
- Language.Haskell.Exts.Syntax.Assoc
- Language.Haskell.Exts.Syntax.Asst
- Language.Haskell.Exts.Syntax.BangType
- Language.Haskell.Exts.Syntax.Binds
- Language.Haskell.Exts.Syntax.BooleanFormula
- Language.Haskell.Exts.Syntax.Boxed
- Language.Haskell.Exts.Syntax.Bracket
- Language.Haskell.Exts.Syntax.CName
- Language.Haskell.Exts.Syntax.CallConv
- Language.Haskell.Exts.Syntax.ClassDecl
- Language.Haskell.Exts.Syntax.ConDecl
- Language.Haskell.Exts.Syntax.Context
- Language.Haskell.Exts.Syntax.DataOrNew
- Language.Haskell.Exts.Syntax.DeclHead
- Language.Haskell.Exts.Syntax.DerivStrategy
- Language.Haskell.Exts.Syntax.Deriving
- Language.Haskell.Exts.Syntax.EWildcard
- Language.Haskell.Exts.Syntax.ExportSpec
- Language.Haskell.Exts.Syntax.ExportSpecList
- Language.Haskell.Exts.Syntax.FieldDecl
- Language.Haskell.Exts.Syntax.FieldUpdate
- Language.Haskell.Exts.Syntax.FunDep
- Language.Haskell.Exts.Syntax.GadtDecl
- Language.Haskell.Exts.Syntax.GuardedRhs
- Language.Haskell.Exts.Syntax.IPBind
- Language.Haskell.Exts.Syntax.IPName
- Language.Haskell.Exts.Syntax.ImportSpec
- Language.Haskell.Exts.Syntax.ImportSpecList
- Language.Haskell.Exts.Syntax.InjectivityInfo
- Language.Haskell.Exts.Syntax.InstDecl
- Language.Haskell.Exts.Syntax.InstHead
- Language.Haskell.Exts.Syntax.InstRule
- Language.Haskell.Exts.Syntax.Literal
- Language.Haskell.Exts.Syntax.Match
- Language.Haskell.Exts.Syntax.MaybePromotedName
- Language.Haskell.Exts.Syntax.Name
- Language.Haskell.Exts.Syntax.Namespace
- Language.Haskell.Exts.Syntax.Op
- Language.Haskell.Exts.Syntax.Overlap
- Language.Haskell.Exts.Syntax.PXAttr
- Language.Haskell.Exts.Syntax.PatField
- Language.Haskell.Exts.Syntax.PatternSynDirection
- Language.Haskell.Exts.Syntax.Promoted
- Language.Haskell.Exts.Syntax.QName
- Language.Haskell.Exts.Syntax.QOp
- Language.Haskell.Exts.Syntax.QualConDecl
- Language.Haskell.Exts.Syntax.QualStmt
- Language.Haskell.Exts.Syntax.RPat
- Language.Haskell.Exts.Syntax.RPatOp
- Language.Haskell.Exts.Syntax.ResultSig
- Language.Haskell.Exts.Syntax.Rhs
- Language.Haskell.Exts.Syntax.Role
- Language.Haskell.Exts.Syntax.Rule
- Language.Haskell.Exts.Syntax.RuleVar
- Language.Haskell.Exts.Syntax.Safety
- Language.Haskell.Exts.Syntax.Sign
- Language.Haskell.Exts.Syntax.SpecialCon
- Language.Haskell.Exts.Syntax.Splice
- Language.Haskell.Exts.Syntax.Tool
- Language.Haskell.Exts.Syntax.TyVarBind
- Language.Haskell.Exts.Syntax.TypeEqn
- Language.Haskell.Exts.Syntax.Unpackedness
- Language.Haskell.Exts.Syntax.WarningText
- Language.Haskell.Exts.Syntax.XAttr
- Language.Haskell.Exts.Syntax.XName
- System.Random.Internal.StatefulGen
- System.Random.Internal.UniformRange
- System.Random.Internal.uniformRM
- Control.Lens.At.Index
- Control.Lens.At.IxValue
- Control.Lens.At.Ixed
- Control.Lens.At.ix
- Control.Lens.Type.Traversal'
- Data.HashMap.Internal.Leaf
- Control.Comonad.Comonad
- Data.Bifunctor.Biff.Biff
- Data.Bifunctor.Clown.Clown
- Data.Bifunctor.Fix.Fix
- Data.Bifunctor.Flip.Flip
- Data.Bifunctor.Join.Join
- Data.Bifunctor.Joker.Joker
- Data.Bifunctor.Product.Product
- Data.Bifunctor.Sum.Sum
- Data.Bifunctor.Tannen.Tannen
- Data.Bifunctor.Wrapped.WrappedBifunctor
- Control.Comonad.Trans.Cofree.CofreeF
- Control.Monad.Free.Free
- Data.Strict.Tuple.Pair
- Data.Primitive.PrimArray.PrimArray
- Data.Primitive.SmallArray.SmallArray
- Data.Strict.Either.Either
- Data.Strict.Maybe.Maybe
- Data.Strict.These.These
- Data.These.These
- Fcf.Class.Foldable.Foldr
- Fcf.Class.Foldable.Sum
- Fcf.Data.Nat.+
- Fcf.Class.Monoid.MEmpty
- Fcf.Class.Monoid.<>
- Fcf.Class.Functor.Map
- Fcf.Combinators.=<<
- Fcf.Data.List.FindIndex
- Fcf.Data.List.Length
- Fcf.Data.List.NumIter
- Fcf.Data.Nat.>
- Fcf.Data.Nat.*
- Fcf.Data.Nat.-
- Fcf.Data.Nat.^
- Control.Comonad.Trans.Env.EnvT
- Data.Fix.Fix
Warning: Clash.Examples.Internal: could not find link destinations for:
- Control.Lens.Type.Lens'
Warning: Clash.Examples: could not find link destinations for:
- Control.Lens.Setter.%=
- Control.Lens.Setter.+=
- Control.Lens.Setter..=
Documentation created: dist-ghc/doc/html/clash-prelude/,
dist-ghc/doc/html/clash-prelude/clash-prelude.txt
Running: /usr/bin/ghc-pkg-9.6.6 recache '--package-db=dist-ghc/package.conf.inplace'
creating dist-ghc/build/unittests
creating dist-ghc/build/unittests/autogen
creating dist-ghc/build/unittests/autogen
creating dist-ghc/build/unittests/autogen
Preprocessing test suite 'unittests' for clash-prelude-1.8.1..
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/sscanr.svg to dist-ghc/doc/html/clash-prelude/doc/sscanr.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/seqDF.svg to dist-ghc/doc/html/clash-prelude/doc/seqDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/stepLock.svg to
dist-ghc/doc/html/clash-prelude/doc/stepLock.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/swapDF.svg to dist-ghc/doc/html/clash-prelude/doc/swapDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/zipWith3.svg to
dist-ghc/doc/html/clash-prelude/doc/zipWith3.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/loopDF_sync.svg to
dist-ghc/doc/html/clash-prelude/doc/loopDF_sync.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/map.svg to dist-ghc/doc/html/clash-prelude/doc/map.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/fold.svg to dist-ghc/doc/html/clash-prelude/doc/fold.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/mapAccumL.svg to
dist-ghc/doc/html/clash-prelude/doc/mapAccumL.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/idDF.svg to dist-ghc/doc/html/clash-prelude/doc/idDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/foldr.svg to dist-ghc/doc/html/clash-prelude/doc/foldr.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/mapAccumR.svg to
dist-ghc/doc/html/clash-prelude/doc/mapAccumR.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/imap.svg to dist-ghc/doc/html/clash-prelude/doc/imap.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/ifoldr.svg to dist-ghc/doc/html/clash-prelude/doc/ifoldr.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/sscanl.svg to dist-ghc/doc/html/clash-prelude/doc/sscanl.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/parDF.svg to dist-ghc/doc/html/clash-prelude/doc/parDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/foldr1.svg to dist-ghc/doc/html/clash-prelude/doc/foldr1.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/lockStep.svg to
dist-ghc/doc/html/clash-prelude/doc/lockStep.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/zipWith.svg to dist-ghc/doc/html/clash-prelude/doc/zipWith.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/generate.svg to
dist-ghc/doc/html/clash-prelude/doc/generate.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/scanr.svg to dist-ghc/doc/html/clash-prelude/doc/scanr.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/secondDF.svg to
dist-ghc/doc/html/clash-prelude/doc/secondDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/ifoldl.svg to dist-ghc/doc/html/clash-prelude/doc/ifoldl.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/scanlPar.svg to
dist-ghc/doc/html/clash-prelude/doc/scanlPar.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/loopDF.svg to dist-ghc/doc/html/clash-prelude/doc/loopDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/foldl.svg to dist-ghc/doc/html/clash-prelude/doc/foldl.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/csSort.svg to dist-ghc/doc/html/clash-prelude/doc/csSort.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/scanl.svg to dist-ghc/doc/html/clash-prelude/doc/scanl.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/firstDF.svg to dist-ghc/doc/html/clash-prelude/doc/firstDF.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/iterate.svg to dist-ghc/doc/html/clash-prelude/doc/iterate.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/foldl1.svg to dist-ghc/doc/html/clash-prelude/doc/foldl1.svg
creating dist-ghc/doc/html/clash-prelude/doc
Installing doc/izipWith.svg to
dist-ghc/doc/html/clash-prelude/doc/izipWith.svg
touch build-haddock-stamp
perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \
-E 'install_recipe($ARGV[0])' "debian/tmp-inst-ghc"
Running dh_listpackages
libghc-clash-prelude-dev
libghc-clash-prelude-prof
libghc-clash-prelude-doc
Running debian/hlibrary.setup copy --builddir=dist-ghc --destdir=debian/tmp-inst-ghc
Installing library in debian/tmp-inst-ghc/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
ln --symbolic --force "debian/tmp-inst-ghc" debian/tmp
:
dh_haskell_install_htmldocs --package=libghc-clash-prelude-doc --source-dir="debian/tmp-inst-ghc"
Running find ./usr/share/doc/libghc-clash-prelude-doc/html/ ! -name *.haddock ! -type d -exec install -D --mode=644 {} /<<PKGBUILDDIR>>/debian/libghc-clash-prelude-doc/{} ;
Running mkdir --parents debian/libghc-clash-prelude-doc/usr/lib/ghc-doc/hoogle
Running ln --symbolic --relative --no-target-directory debian/libghc-clash-prelude-doc/usr/share/doc/libghc-clash-prelude-doc/html//clash-prelude.txt debian/libghc-clash-prelude-doc/usr/lib/ghc-doc/hoogle/libghc-clash-prelude-doc.txt
dh_haskell_install_haddock --package=libghc-clash-prelude-doc --source-dir="debian/tmp-inst-ghc"
Running mkdir --parents debian/libghc-clash-prelude-doc/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/
Running cp --recursive debian/tmp-inst-ghc/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/clash-prelude.haddock debian/libghc-clash-prelude-doc/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/
dh_haskell_depends_haddock --package=libghc-clash-prelude-doc
Running haddock --interface-version
42
dh_haskell_recommends_documentation_references --package=libghc-clash-prelude-doc
Finding all links in the documentation in installable libghc-clash-prelude-doc.
Non-zero exit code 31488.
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/base-4.18.2.1/Data-Semigroup-Internal.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/filepath-1.4.300.1/System-OsString-Internal-Types-Hidden.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/binary-0.8.9.1/Data-Binary-Class.html
dpkg-query: no path found matching pattern /usr/share/doc/libghc-hashable-doc/html/Data-Hashable-Class.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/bytestring-0.11.5.3/Data-ByteString-Internal-Type.html
dpkg-query: no path found matching pattern /usr/share/doc/libghc-vector-doc/html/Data-Vector-Unboxed-Base.html
dpkg-query: no path found matching pattern /usr/share/doc/libghc-quickcheck2-doc/html/Test-QuickCheck-Random.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/base-4.18.2.1/Data-Typeable-Internal.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/time-1.12.2/Data-Time-Format-Locale.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/time-1.12.2/Data-Time-LocalTime-Internal-LocalTime.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/time-1.12.2/Data-Time-LocalTime-Internal-ZonedTime.html
dpkg-query: no path found matching pattern /usr/share/doc/libghc-string-interpolate-doc/html/Data-String-Interpolate-Conversion-Classes.html
dpkg-query: no path found matching pattern /usr/share/doc/libghc-quickcheck2-doc/html/Test-QuickCheck-Property.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/time-1.12.2/Data-Time-Clock-Internal-UTCTime.html
dpkg-query: no path found matching pattern /usr/share/doc/ghc-doc/html/libraries/base-4.18.2.1/Data-Functor-Utils.html
dh_haskell_suggests --package=libghc-clash-prelude-doc
dh_haskell_blurbs --package=libghc-clash-prelude-doc --type=doc
dh_haskell_description --package=libghc-clash-prelude-doc
Running grep-dctrl --no-field-names --show-field=Description --field=Source --regex .* debian/control
Running grep-dctrl --no-field-names --show-field=X-Description --field=Source --regex .* debian/control
Functional hardware description language - Prelude library
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
.
* Support for multiple clock domains, with type safe clock domain crossing.
.
This package provides:
.
* Prelude library containing datatypes and functions for circuit design
.
To use the library:
.
* Import "Clash.Prelude"
.
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
dh_haskell_compiler --package=libghc-clash-prelude-doc
Running dpkg-query --showformat=${Version} --show ghc
9.6.6-3
dh_installdocs -plibghc-clash-prelude-doc
dh_installexamples -plibghc-clash-prelude-doc
dh_installman -plibghc-clash-prelude-doc
dh_installinfo -plibghc-clash-prelude-doc
dh_installmenu -plibghc-clash-prelude-doc
dh_installcron -plibghc-clash-prelude-doc
dh_systemd_enable -plibghc-clash-prelude-doc
dh_installinit -plibghc-clash-prelude-doc
dh_installdebconf -plibghc-clash-prelude-doc
dh_installemacsen -plibghc-clash-prelude-doc
dh_installcatalogs -plibghc-clash-prelude-doc
dh_installpam -plibghc-clash-prelude-doc
dh_installlogrotate -plibghc-clash-prelude-doc
dh_installlogcheck -plibghc-clash-prelude-doc
dh_installchangelogs -plibghc-clash-prelude-doc
dh_installudev -plibghc-clash-prelude-doc
dh_lintian -plibghc-clash-prelude-doc
dh_bugfiles -plibghc-clash-prelude-doc
dh_install -plibghc-clash-prelude-doc
dh_systemd_start -plibghc-clash-prelude-doc
dh_link -plibghc-clash-prelude-doc
dh_buildinfo -plibghc-clash-prelude-doc
dh_installmime -plibghc-clash-prelude-doc
dh_installgsettings -plibghc-clash-prelude-doc
dh_strip -plibghc-clash-prelude-doc --no-automatic-dbgsym
dh_strip_nondeterminism -plibghc-clash-prelude-doc
dh_compress -plibghc-clash-prelude-doc -X .haddock -X .hs -X .txt
dh_fixperms -plibghc-clash-prelude-doc
dh_makeshlibs -plibghc-clash-prelude-doc -XlibHS
dh_installdeb -plibghc-clash-prelude-doc
dh_perl -plibghc-clash-prelude-doc
dh_shlibdeps -plibghc-clash-prelude-doc -- --ignore-missing-info
dh_gencontrol -plibghc-clash-prelude-doc
dpkg-gencontrol: warning: Conflicts field of package libghc-clash-prelude-doc: substitution variable ${haskell:Conflicts} used, but is not defined
dpkg-gencontrol: warning: package libghc-clash-prelude-doc: substitution variable ${haskell:ghc-version} unused, but is defined
# only call dh_scour for packages in main
if grep -q '^Component:[[:space:]]*main' /CurrentlyBuilding 2>/dev/null; then dh_scour -plibghc-clash-prelude-doc ; fi
dh_md5sums -plibghc-clash-prelude-doc
dh_builddeb -plibghc-clash-prelude-doc
dpkg-deb: building package 'libghc-clash-prelude-doc' in '../libghc-clash-prelude-doc_1.8.1-2_all.deb'.
Adding cdbs dependencies to debian/libghc-clash-prelude-dev.substvars
dh_installdirs -plibghc-clash-prelude-dev \
:
dh_haskell_install_ghc_registration --package=libghc-clash-prelude-dev
Running: /usr/bin/ghc --abi-hash -fbuilding-cabal-package -O -outputdir dist-ghc/build -odir dist-ghc/build -hidir dist-ghc/build -stubdir dist-ghc/build -i -idist-ghc/build -isrc -idist-ghc/build/autogen -idist-ghc/build/global-autogen -Idist-ghc/build/autogen -Idist-ghc/build/global-autogen -Idist-ghc/build -optP-DCABAL '-optP-DCLASH_OPAQUE=OPAQUE' -optP-include -optPdist-ghc/build/autogen/cabal_macros.h -this-unit-id clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A -hide-all-packages -Wmissing-home-modules -no-user-package-db -package-db /var/lib/ghc/package.conf.d -package-db dist-ghc/package.conf.inplace -package-id QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew -package-id array-0.5.6.0 -package-id arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 -package-id base-4.18.2.1 -package-id binary-0.8.9.1 -package-id bytestring-0.11.5.3 -package-id constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 -package-id containers-0.6.7 -package-id data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK -package-id data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 -package-id deepseq-1.4.8.1 -package-id extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 -package-id ghc-bignum-1.3 -package-id ghc-prim-0.10.0 -package-id ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl -package-id ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp -package-id ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj -package-id half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb -package-id hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ -package-id infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT -package-id lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC -package-id mtl-2.3.1 -package-id recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw -package-id reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU -package-id singletons-3.0.3-3ANXyFmyli45G5VssrZrXX -package-id string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 -package-id template-haskell-2.20.0.0 -package-id text-2.0.2 -package-id th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH -package-id th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou -package-id th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 -package-id time-1.12.2 -package-id transformers-0.6.1.0 -package-id type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 -package-id uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx -package-id vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL -XHaskell2010 -XBangPatterns -XBinaryLiterals -XDataKinds -XDefaultSignatures -XDeriveDataTypeable -XDeriveFoldable -XDeriveFunctor -XDeriveGeneric -XDeriveLift -XDeriveTraversable -XDerivingStrategies -XInstanceSigs -XKindSignatures -XMagicHash -XNoStarIsType -XPostfixOperators -XScopedTypeVariables -XStandaloneDeriving -XTupleSections -XTypeApplications -XTypeOperators -XViewPatterns Clash.Annotations.TopEntity Clash.Annotations.Primitive Clash.Annotations.BitRepresentation Clash.Annotations.BitRepresentation.Deriving Clash.Annotations.BitRepresentation.Internal Clash.Annotations.BitRepresentation.Util Clash.Annotations.SynthesisAttributes Clash.Annotations.TH Clash.Class.AutoReg Clash.Class.AutoReg.Internal Clash.Class.BitPack Clash.Class.BitPack.BitIndex Clash.Class.BitPack.BitReduction Clash.Class.BitPack.Internal Clash.Class.BitPack.Internal.TH Clash.Class.Counter Clash.Class.Counter.Internal Clash.Class.Counter.TH Clash.Class.Exp Clash.Class.HasDomain Clash.Class.HasDomain.HasSingleDomain Clash.Class.HasDomain.HasSpecificDomain Clash.Class.HasDomain.CodeGen Clash.Class.HasDomain.Common Clash.Class.Num Clash.Class.Parity Clash.Class.Resize Clash.Clocks Clash.Explicit.BlockRam Clash.Explicit.BlockRam.Blob Clash.Explicit.BlockRam.File Clash.Explicit.BlockRam.Internal Clash.Explicit.BlockRam.Model Clash.Explicit.DDR Clash.Explicit.Mealy Clash.Explicit.Moore Clash.Explicit.RAM Clash.Explicit.ROM Clash.Explicit.ROM.Blob Clash.Explicit.ROM.File Clash.Explicit.Prelude Clash.Explicit.Prelude.Safe Clash.Explicit.Reset Clash.Explicit.SimIO Clash.Explicit.Signal Clash.Explicit.Signal.Delayed Clash.Explicit.Synchronizer Clash.Explicit.Testbench Clash.Explicit.Verification Clash.HaskellPrelude Clash.Hidden Clash.Intel.ClockGen Clash.Intel.DDR Clash.Magic Clash.Num.Erroring Clash.Num.Overflowing Clash.Num.Saturating Clash.Num.Wrapping Clash.Num.Zeroing Clash.NamedTypes Clash.Prelude Clash.Prelude.BlockRam Clash.Prelude.BlockRam.Blob Clash.Prelude.BlockRam.File Clash.Prelude.DataFlow Clash.Prelude.Mealy Clash.Prelude.Moore Clash.Prelude.RAM Clash.Prelude.ROM Clash.Prelude.ROM.Blob Clash.Prelude.ROM.File Clash.Prelude.Safe Clash.Prelude.Testbench Clash.Promoted.Nat Clash.Promoted.Nat.Literals Clash.Promoted.Nat.TH Clash.Promoted.Nat.Unsafe Clash.Promoted.Symbol Clash.Signal Clash.Signal.Bundle Clash.Signal.BiSignal Clash.Signal.Delayed Clash.Signal.Delayed.Internal Clash.Signal.Delayed.Bundle Clash.Signal.Internal Clash.Signal.Internal.Ambiguous Clash.Signal.Trace Clash.Sized.BitVector Clash.Sized.Fixed Clash.Sized.Index Clash.Sized.RTree Clash.Sized.Signed Clash.Sized.Unsigned Clash.Sized.Vector Clash.Sized.Internal.BitVector Clash.Sized.Internal.Index Clash.Sized.Internal.Mod Clash.Sized.Internal.Signed Clash.Sized.Internal.Unsigned Clash.Verification Clash.Verification.DSL Clash.Verification.Internal Clash.XException Clash.XException.Internal Clash.XException.MaybeX Clash.XException.TH Clash.Xilinx.ClockGen Clash.Xilinx.DDR Clash.Tutorial Clash.Examples Clash.Examples.Internal -Wall -Wcompat -fexpose-all-unfoldings -fno-worker-wrapper -optl-Wl,-z,relro
name: clash-prelude
version: 1.8.1
visibility: public
id: clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
key: clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
license: BSD-2-Clause
copyright:
Copyright © 2013-2016, University of Twente,
2016-2017, Myrtle Software Ltd,
2017-2019, QBayLogic B.V., Google Inc.,
2021-2023, QBayLogic B.V.
maintainer: QBayLogic B.V. <devops@qbaylogic.com>
author: The Clash Authors
homepage: https://clash-lang.org/
synopsis:
Clash: a functional hardware description language - Prelude library
description:
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
Features of Clash:
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
* Support for multiple clock domains, with type safe clock domain crossing.
This package provides:
* Prelude library containing datatypes and functions for circuit design
To use the library:
* Import "Clash.Prelude"
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
category: Hardware
abi: ba4c2bedd9de10ed8c2791e72df83ce3
exposed: True
exposed-modules:
Clash.Annotations.BitRepresentation
Clash.Annotations.BitRepresentation.Deriving
Clash.Annotations.BitRepresentation.Internal
Clash.Annotations.BitRepresentation.Util
Clash.Annotations.Primitive Clash.Annotations.SynthesisAttributes
Clash.Annotations.TH Clash.Annotations.TopEntity
Clash.Class.AutoReg Clash.Class.AutoReg.Internal
Clash.Class.BitPack Clash.Class.BitPack.BitIndex
Clash.CRunning install -D --mode=644 clash-prelude-1.8.1.conf debian/libghc-clash-prelude-dev/var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf
lass.BitPack.BitReduction Clash.Class.BitPack.Internal
Clash.Class.BitPack.Internal.TH Clash.Class.Counter
Clash.Class.Counter.Internal Clash.Class.Counter.TH Clash.Class.Exp
Clash.Class.HasDomain Clash.Class.HasDomain.CodeGen
Clash.Class.HasDomain.Common Clash.Class.HasDomain.HasSingleDomain
Clash.Class.HasDomain.HasSpecificDomain Clash.Class.Num
Clash.Class.Parity Clash.Class.Resize Clash.Clocks Clash.Examples
Clash.Examples.Internal Clash.Explicit.BlockRam
Clash.Explicit.BlockRam.Blob Clash.Explicit.BlockRam.File
Clash.Explicit.BlockRam.Internal Clash.Explicit.BlockRam.Model
Clash.Explicit.DDR Clash.Explicit.Mealy Clash.Explicit.Moore
Clash.Explicit.Prelude Clash.Explicit.Prelude.Safe
Clash.Explicit.RAM Clash.Explicit.ROM Clash.Explicit.ROM.Blob
Clash.Explicit.ROM.File Clash.Explicit.Reset Clash.Explicit.Signal
Clash.Explicit.Signal.Delayed Clash.Explicit.SimIO
Clash.Explicit.Synchronizer Clash.Explicit.Testbench
Clash.Explicit.Verification Clash.HaskellPrelude Clash.Hidden
Clash.Intel.ClockGen Clash.Intel.DDR Clash.Magic Clash.NamedTypes
Clash.Num.Erroring Clash.Num.Overflowing Clash.Num.Saturating
Clash.Num.Wrapping Clash.Num.Zeroing Clash.Prelude
Clash.Prelude.BlockRam Clash.Prelude.BlockRam.Blob
Clash.Prelude.BlockRam.File Clash.Prelude.DataFlow
Clash.Prelude.Mealy Clash.Prelude.Moore Clash.Prelude.RAM
Clash.Prelude.ROM Clash.Prelude.ROM.Blob Clash.Prelude.ROM.File
Clash.Prelude.Safe Clash.Prelude.Testbench Clash.Promoted.Nat
Clash.Promoted.Nat.Literals Clash.Promoted.Nat.TH
Clash.Promoted.Nat.Unsafe Clash.Promoted.Symbol Clash.Signal
Clash.Signal.BiSignal Clash.Signal.Bundle Clash.Signal.Delayed
Clash.Signal.Delayed.Bundle Clash.Signal.Delayed.Internal
Clash.Signal.Internal Clash.Signal.Internal.Ambiguous
Clash.Signal.Trace Clash.Sized.BitVector Clash.Sized.Fixed
Clash.Sized.Index Clash.Sized.Internal.BitVector
Clash.Sized.Internal.Index Clash.Sized.Internal.Mod
Clash.Sized.Internal.Signed Clash.Sized.Internal.Unsigned
Clash.Sized.RTree Clash.Sized.Signed Clash.Sized.Unsigned
Clash.Sized.Vector Clash.Tutorial Clash.Verification
Clash.Verification.DSL Clash.Verification.Internal Clash.XException
Clash.XException.Internal Clash.XException.MaybeX
Clash.XException.TH Clash.Xilinx.ClockGen Clash.Xilinx.DDR
hidden-modules:
Clash.Class.AutoReg.Instances Clash.Clocks.Internal Clash.CPP
Clash.Signal.Bundle.Internal Language.Haskell.TH.Compat
Paths_clash_prelude
import-dirs:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
library-dirs:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
library-dirs-static:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
dynamic-library-dirs: /usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6
data-dir: /usr/share/clash-prelude
hs-libraries: HSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
depends:
QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew array-0.5.6.0
arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 base-4.18.2.1 binary-0.8.9.1
bytestring-0.11.5.3 constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0
containers-0.6.7 data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK
data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 deepseq-1.4.8.1
extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 ghc-bignum-1.3 ghc-prim-0.10.0
ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb
hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ
infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT
lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC mtl-2.3.1
recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw
reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU
singletons-3.0.3-3ANXyFmyli45G5VssrZrXX
string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3
template-haskell-2.20.0.0 text-2.0.2
th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH
th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou
th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 time-1.12.2
transformers-0.6.1.0 type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1
uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx
vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
haddock-interfaces:
/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/clash-prelude.haddock
haddock-html: /usr/share/doc/libghc-clash-prelude-doc/html/
Creating package registration file: clash-prelude-1.8.1.conf
Running rm -rf clash-prelude-1.8.1.conf
dh_haskell_install_development_libs --package=libghc-clash-prelude-dev --source-dir="debian/tmp-inst-ghc"
Running find ./usr/lib/haskell-packages/ghc/lib ( ! -name *_p.a ! -name *.p_hi ! -type d ) -exec install -D --mode=644 {} /<<PKGBUILDDIR>>/debian/libghc-clash-prelude-dev/{} ;
dh_haskell_provides_ghc --package=libghc-clash-prelude-dev
Running cp debian/libghc-clash-prelude-dev/var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf debian/tmp-db
Running ghc-pkg --package-db debian/tmp-db recache
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 id
clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
Running ghc-pkg --package-db debian/tmp-db --simple-output --unit-id field clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A name
clash-prelude
Running ghc-pkg --package-db debian/tmp-db --simple-output --unit-id field clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A version
1.8.1
Running ghc-pkg --package-db debian/tmp-db --simple-output --unit-id field clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A abi
ba4c2bedd9de10ed8c2791e72df83ce3
dh_haskell_depends_cabal --package=libghc-clash-prelude-dev
Running cp debian/libghc-clash-prelude-dev/var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf debian/tmp-db
Running ghc-pkg --package-db debian/tmp-db recache
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 depends
QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew array-0.5.6.0 arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 base-4.18.2.1 binary-0.8.9.1 bytestring-0.11.5.3 constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 containers-0.6.7 data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 deepseq-1.4.8.1 extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 ghc-bignum-1.3 ghc-prim-0.10.0 ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC mtl-2.3.1 recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU singletons-3.0.3-3ANXyFmyli45G5VssrZrXX string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 template-haskell-2.20.0.0 text-2.0.2 th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 time-1.12.2 transformers-0.6.1.0 type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
Running ghc-pkg --global --simple-output --unit-id field QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew name
QuickCheck
Running ghc-pkg --global --simple-output --unit-id field QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew version
2.14.3
Running ghc-pkg --global --simple-output --unit-id field QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew abi
1e8245f381b4271634d51a074868e1ac
Running ghc-pkg --global --simple-output --unit-id field array-0.5.6.0 name
array
Running ghc-pkg --global --simple-output --unit-id field array-0.5.6.0 version
0.5.6.0
Running ghc-pkg --global --simple-output --unit-id field array-0.5.6.0 abi
65c232ec2986e5134c1d311256bb2752
Running ghc-pkg --global --simple-output --unit-id field arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 name
arrows
Running ghc-pkg --global --simple-output --unit-id field arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 version
0.4.4.2
Running ghc-pkg --global --simple-output --unit-id field arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 abi
7b6dcb8619726cf4cca6c7831e991c03
Running ghc-pkg --global --simple-output --unit-id field base-4.18.2.1 name
base
Running ghc-pkg --global --simple-output --unit-id field base-4.18.2.1 version
4.18.2.1
Running ghc-pkg --global --simple-output --unit-id field base-4.18.2.1 abi
75247e98224aa00276c5a55902026a85
Running ghc-pkg --global --simple-output --unit-id field binary-0.8.9.1 name
binary
Running ghc-pkg --global --simple-output --unit-id field binary-0.8.9.1 version
0.8.9.1
Running ghc-pkg --global --simple-output --unit-id field binary-0.8.9.1 abi
88a2a74e2de3c84341c7ce807b14ef59
Running ghc-pkg --global --simple-output --unit-id field bytestring-0.11.5.3 name
bytestring
Running ghc-pkg --global --simple-output --unit-id field bytestring-0.11.5.3 version
0.11.5.3
Running ghc-pkg --global --simple-output --unit-id field bytestring-0.11.5.3 abi
31c8590028b37ead05d5ecfcdc1f9a66
Running ghc-pkg --global --simple-output --unit-id field constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 name
constraints
Running ghc-pkg --global --simple-output --unit-id field constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 version
0.14.2
Running ghc-pkg --global --simple-output --unit-id field constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 abi
f18877247d20e42111a977479cb2e7c4
Running ghc-pkg --global --simple-output --unit-id field containers-0.6.7 name
containers
Running ghc-pkg --global --simple-output --unit-id field containers-0.6.7 version
0.6.7
Running ghc-pkg --global --simple-output --unit-id field containers-0.6.7 abi
3eda8e2eab328d8c6cab76e3e0e07a12
Running ghc-pkg --global --simple-output --unit-id field data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK name
data-binary-ieee754
Running ghc-pkg --global --simple-output --unit-id field data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK version
0.4.4
Running ghc-pkg --global --simple-output --unit-id field data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK abi
a40b81515e709b16e6dd4209e502e986
Running ghc-pkg --global --simple-output --unit-id field data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 name
data-default-class
Running ghc-pkg --global --simple-output --unit-id field data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 version
0.1.2.2
Running ghc-pkg --global --simple-output --unit-id field data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 abi
3b83cc8676717d37f98b370886043e33
Running ghc-pkg --global --simple-output --unit-id field deepseq-1.4.8.1 name
deepseq
Running ghc-pkg --global --simple-output --unit-id field deepseq-1.4.8.1 version
1.4.8.1
Running ghc-pkg --global --simple-output --unit-id field deepseq-1.4.8.1 abi
71feb269b8410f74472145022824fbce
Running ghc-pkg --global --simple-output --unit-id field extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 name
extra
Running ghc-pkg --global --simple-output --unit-id field extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 version
1.7.16
Running ghc-pkg --global --simple-output --unit-id field extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 abi
006375affa43ec8a4e880f780dc41036
Running ghc-pkg --global --simple-output --unit-id field ghc-bignum-1.3 name
ghc-bignum
Running ghc-pkg --global --simple-output --unit-id field ghc-bignum-1.3 version
1.3
Running ghc-pkg --global --simple-output --unit-id field ghc-bignum-1.3 abi
ff2dd3d0a939709c121519995e3c1eef
Running ghc-pkg --global --simple-output --unit-id field ghc-prim-0.10.0 name
ghc-prim
Running ghc-pkg --global --simple-output --unit-id field ghc-prim-0.10.0 version
0.10.0
Running ghc-pkg --global --simple-output --unit-id field ghc-prim-0.10.0 abi
e21934ea5fef36dbd841de3426bfbd18
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl name
ghc-typelits-extra
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl version
0.4.7
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl abi
3ad02ffd9f1db630f1f0b258ebd67f25
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp name
ghc-typelits-knownnat
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp version
0.7.12
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp abi
1c6d62178e54ec48347245c752de7449
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj name
ghc-typelits-natnormalise
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj version
0.7.10
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj abi
5de26a17de77773cb2c3cad5b8676ad3
Running ghc-pkg --global --simple-output --unit-id field half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb name
half
Running ghc-pkg --global --simple-output --unit-id field half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb version
0.3.1
Running ghc-pkg --global --simple-output --unit-id field half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb abi
c51122e4dd09b3ca633eae697cc1c485
Running ghc-pkg --global --simple-output --unit-id field hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ name
hashable
Running ghc-pkg --global --simple-output --unit-id field hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ version
1.4.4.0
Running ghc-pkg --global --simple-output --unit-id field hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ abi
3ee83932148307fa78043fe85ff9bb4b
Running ghc-pkg --global --simple-output --unit-id field infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT name
infinite-list
Running ghc-pkg --global --simple-output --unit-id field infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT version
0.1.1
Running ghc-pkg --global --simple-output --unit-id field infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT abi
c57482e9906a8d6abf65e896d1d89d33
Running ghc-pkg --global --simple-output --unit-id field lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC name
lens
Running ghc-pkg --global --simple-output --unit-id field lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC version
5.2.3
Running ghc-pkg --global --simple-output --unit-id field lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC abi
9e38782c4074a89a262c780bce40d7db
Running ghc-pkg --global --simple-output --unit-id field mtl-2.3.1 name
mtl
Running ghc-pkg --global --simple-output --unit-id field mtl-2.3.1 version
2.3.1
Running ghc-pkg --global --simple-output --unit-id field mtl-2.3.1 abi
f811957517e7c91db2b7d92df17038fe
Running ghc-pkg --global --simple-output --unit-id field recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw name
recursion-schemes
Running ghc-pkg --global --simple-output --unit-id field recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw version
5.2.3
Running ghc-pkg --global --simple-output --unit-id field recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw abi
6c031a94323a950a3e98461ba3ae6aec
Running ghc-pkg --global --simple-output --unit-id field reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU name
reflection
Running ghc-pkg --global --simple-output --unit-id field reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU version
2.1.8
Running ghc-pkg --global --simple-output --unit-id field reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU abi
294f73b2614e0f8918e97c90081e66ab
Running ghc-pkg --global --simple-output --unit-id field singletons-3.0.3-3ANXyFmyli45G5VssrZrXX name
singletons
Running ghc-pkg --global --simple-output --unit-id field singletons-3.0.3-3ANXyFmyli45G5VssrZrXX version
3.0.3
Running ghc-pkg --global --simple-output --unit-id field singletons-3.0.3-3ANXyFmyli45G5VssrZrXX abi
26f51e85d389fab4130cee2ebcd7a7a2
Running ghc-pkg --global --simple-output --unit-id field string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 name
string-interpolate
Running ghc-pkg --global --simple-output --unit-id field string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 version
0.3.4.0
Running ghc-pkg --global --simple-output --unit-id field string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 abi
5d07feefd0818e577da973d7a2b4e272
Running ghc-pkg --global --simple-output --unit-id field template-haskell-2.20.0.0 name
template-haskell
Running ghc-pkg --global --simple-output --unit-id field template-haskell-2.20.0.0 version
2.20.0.0
Running ghc-pkg --global --simple-output --unit-id field template-haskell-2.20.0.0 abi
a6103ac9db3b9846a1f9fd584ae19de7
Running ghc-pkg --global --simple-output --unit-id field text-2.0.2 name
text
Running ghc-pkg --global --simple-output --unit-id field text-2.0.2 version
2.0.2
Running ghc-pkg --global --simple-output --unit-id field text-2.0.2 abi
edcc74d46bc3b20600110db51c75c238
Running ghc-pkg --global --simple-output --unit-id field th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH name
th-abstraction
Running ghc-pkg --global --simple-output --unit-id field th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH version
0.5.0.0
Running ghc-pkg --global --simple-output --unit-id field th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH abi
ba92f791a4b9f3ed9f68e1d3401c8d99
Running ghc-pkg --global --simple-output --unit-id field th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou name
th-lift
Running ghc-pkg --global --simple-output --unit-id field th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou version
0.8.4
Running ghc-pkg --global --simple-output --unit-id field th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou abi
5b66870ca416d4f064c1de761a4e7b12
Running ghc-pkg --global --simple-output --unit-id field th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 name
th-orphans
Running ghc-pkg --global --simple-output --unit-id field th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 version
0.13.14
Running ghc-pkg --global --simple-output --unit-id field th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 abi
6531a0674352292e6d43bba568c0665e
Running ghc-pkg --global --simple-output --unit-id field time-1.12.2 name
time
Running ghc-pkg --global --simple-output --unit-id field time-1.12.2 version
1.12.2
Running ghc-pkg --global --simple-output --unit-id field time-1.12.2 abi
a9cccb66c0a7c723756ecc7e2162f4b4
Running ghc-pkg --global --simple-output --unit-id field transformers-0.6.1.0 name
transformers
Running ghc-pkg --global --simple-output --unit-id field transformers-0.6.1.0 version
0.6.1.0
Running ghc-pkg --global --simple-output --unit-id field transformers-0.6.1.0 abi
5335d0a26806e653058e1ea1669e46ff
Running ghc-pkg --global --simple-output --unit-id field type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 name
type-errors
Running ghc-pkg --global --simple-output --unit-id field type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 version
0.2.0.2
Running ghc-pkg --global --simple-output --unit-id field type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 abi
c32b194c726ad89926e351f1da87c855
Running ghc-pkg --global --simple-output --unit-id field uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx name
uniplate
Running ghc-pkg --global --simple-output --unit-id field uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx version
1.6.13
Running ghc-pkg --global --simple-output --unit-id field uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx abi
3d663341a0aaf63453d6182a9ae46a5a
Running ghc-pkg --global --simple-output --unit-id field vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL name
vector
Running ghc-pkg --global --simple-output --unit-id field vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL version
0.13.1.0
Running ghc-pkg --global --simple-output --unit-id field vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL abi
c924b4bb45a956abae3468af9c0335bb
dh_haskell_extra_depends_ghc --package=libghc-clash-prelude-dev --type=dev
Running: /usr/bin/ghc --abi-hash -fbuilding-cabal-package -O -outputdir dist-ghc/build -odir dist-ghc/build -hidir dist-ghc/build -stubdir dist-ghc/build -i -idist-ghc/build -isrc -idist-ghc/build/autogen -idist-ghc/build/global-autogen -Idist-ghc/build/autogen -Idist-ghc/build/global-autogen -Idist-ghc/build -optP-DCABAL '-optP-DCLASH_OPAQUE=OPAQUE' -optP-include -optPdist-ghc/build/autogen/cabal_macros.h -this-unit-id clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A -hide-all-packages -Wmissing-home-modules -no-user-package-db -package-db /var/lib/ghc/package.conf.d -package-db dist-ghc/package.conf.inplace -package-id QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew -package-id array-0.5.6.0 -package-id arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 -package-id base-4.18.2.1 -package-id binary-0.8.9.1 -package-id bytestring-0.11.5.3 -package-id constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 -package-id containers-0.6.7 -package-id data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK -package-id data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 -package-id deepseq-1.4.8.1 -package-id extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 -package-id ghc-bignum-1.3 -package-id ghc-prim-0.10.0 -package-id ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl -package-id ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp -package-id ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj -package-id half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb -package-id hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ -package-id infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT -package-id lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC -package-id mtl-2.3.1 -package-id recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw -package-id reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU -package-id singletons-3.0.3-3ANXyFmyli45G5VssrZrXX -package-id string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 -package-id template-haskell-2.20.0.0 -package-id text-2.0.2 -package-id th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH -package-id th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou -package-id th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 -package-id time-1.12.2 -package-id transformers-0.6.1.0 -package-id type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 -package-id uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx -package-id vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL -XHaskell2010 -XBangPatterns -XBinaryLiterals -XDataKinds -XDefaultSignatures -XDeriveDataTypeable -XDeriveFoldable -XDeriveFunctor -XDeriveGeneric -XDeriveLift -XDeriveTraversable -XDerivingStrategies -XInstanceSigs -XKindSignatures -XMagicHash -XNoStarIsType -XPostfixOperators -XScopedTypeVariables -XStandaloneDeriving -XTupleSections -XTypeApplications -XTypeOperators -XViewPatterns Clash.Annotations.TopEntity Clash.Annotations.Primitive Clash.Annotations.BitRepresentation Clash.Annotations.BitRepresentation.Deriving Clash.Annotations.BitRepresentation.Internal Clash.Annotations.BitRepresentation.Util Clash.Annotations.SynthesisAttributes Clash.Annotations.TH Clash.Class.AutoReg Clash.Class.AutoReg.Internal Clash.Class.BitPack Clash.Class.BitPack.BitIndex Clash.Class.BitPack.BitReduction Clash.Class.BitPack.Internal Clash.Class.BitPack.Internal.TH Clash.Class.Counter Clash.Class.Counter.Internal Clash.Class.Counter.TH Clash.Class.Exp Clash.Class.HasDomain Clash.Class.HasDomain.HasSingleDomain Clash.Class.HasDomain.HasSpecificDomain Clash.Class.HasDomain.CodeGen Clash.Class.HasDomain.Common Clash.Class.Num Clash.Class.Parity Clash.Class.Resize Clash.Clocks Clash.Explicit.BlockRam Clash.Explicit.BlockRam.Blob Clash.Explicit.BlockRam.File Clash.Explicit.BlockRam.Internal Clash.Explicit.BlockRam.Model Clash.Explicit.DDR Clash.Explicit.Mealy Clash.Explicit.Moore Clash.Explicit.RAM Clash.Explicit.ROM Clash.Explicit.ROM.Blob Clash.Explicit.ROM.File Clash.Explicit.Prelude Clash.Explicit.Prelude.Safe Clash.Explicit.Reset Clash.Explicit.SimIO Clash.Explicit.Signal Clash.Explicit.Signal.Delayed Clash.Explicit.Synchronizer Clash.Explicit.Testbench Clash.Explicit.Verification Clash.HaskellPrelude Clash.Hidden Clash.Intel.ClockGen Clash.Intel.DDR Clash.Magic Clash.Num.Erroring Clash.Num.Overflowing Clash.Num.Saturating Clash.Num.Wrapping Clash.Num.Zeroing Clash.NamedTypes Clash.Prelude Clash.Prelude.BlockRam Clash.Prelude.BlockRam.Blob Clash.Prelude.BlockRam.File Clash.Prelude.DataFlow Clash.Prelude.Mealy Clash.Prelude.Moore Clash.Prelude.RAM Clash.Prelude.ROM Clash.Prelude.ROM.Blob Clash.Prelude.ROM.File Clash.Prelude.Safe Clash.Prelude.Testbench Clash.Promoted.Nat Clash.Promoted.Nat.Literals Clash.Promoted.Nat.TH Clash.Promoted.Nat.Unsafe Clash.Promoted.Symbol Clash.Signal Clash.Signal.Bundle Clash.Signal.BiSignal Clash.Signal.Delayed Clash.Signal.Delayed.Internal Clash.Signal.Delayed.Bundle Clash.Signal.Internal Clash.Signal.Internal.Ambiguous Clash.Signal.Trace Clash.Sized.BitVector Clash.Sized.Fixed Clash.Sized.Index Clash.Sized.RTree Clash.Sized.Signed Clash.Sized.Unsigned Clash.Sized.Vector Clash.Sized.Internal.BitVector Clash.Sized.Internal.Index Clash.Sized.Internal.Mod Clash.Sized.Internal.Signed Clash.Sized.Internal.Unsigned Clash.Verification Clash.Verification.DSL Clash.Verification.Internal Clash.XException Clash.XException.Internal Clash.XException.MaybeX Clash.XException.TH Clash.Xilinx.ClockGen Clash.Xilinx.DDR Clash.Tutorial Clash.Examples Clash.Examples.Internal -Wall -Wcompat -fexpose-all-unfoldings -fno-worker-wrapper -optl-Wl,-z,relro
name: clash-prelude
version: 1.8.1
visibility: public
id: clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
key: clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
license: BSD-2-Clause
copyright:
Copyright © 2013-2016, University of Twente,
2016-2017, Myrtle Software Ltd,
2017-2019, QBayLogic B.V., Google Inc.,
2021-2023, QBayLogic B.V.
maintainer: QBayLogic B.V. <devops@qbaylogic.com>
author: The Clash Authors
homepage: https://clash-lang.org/
synopsis:
Clash: a functional hardware description language - Prelude library
description:
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
Features of Clash:
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
* Support for multiple clock domains, with type safe clock domain crossing.
This package provides:
* Prelude library containing datatypes and functions for circuit design
To use the library:
* Import "Clash.Prelude"
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
category: Hardware
abi: ba4c2bedd9de10ed8c2791e72df83ce3
exposed: True
exposed-modules:
Clash.Annotations.BitRepresentation
Clash.Annotations.BitRepresentation.Deriving
Clash.Annotations.BitRepresentation.Internal
Clash.Annotations.BitRepresentation.Util
Clash.Annotations.Primitive Clash.Annotations.SynthesisAttributes
Clash.Annotations.TH Clash.Annotations.TopEntity
Clash.Class.AutoReg Clash.Class.AutoReg.Internal
Clash.Class.BitPack Clash.Class.BitPack.BitIndex
Clash.CRunning cp clash-prelude-1.8.1.conf debian/tmp-db
lass.BitPack.BitReduction Clash.Class.BitPack.Internal
Clash.Class.BitPack.Internal.TH Clash.Class.Counter
Clash.Class.Counter.Internal Clash.Class.Counter.TH Clash.Class.Exp
Clash.Class.HasDomain Clash.Class.HasDomain.CodeGen
Clash.Class.HasDomain.Common Clash.Class.HasDomain.HasSingleDomain
Clash.Class.HasDomain.HasSpecificDomain Clash.Class.Num
Clash.Class.Parity Clash.Class.Resize Clash.Clocks Clash.Examples
Clash.Examples.Internal Clash.Explicit.BlockRam
Clash.Explicit.BlockRam.Blob Clash.Explicit.BlockRam.File
Clash.Explicit.BlockRam.Internal Clash.Explicit.BlockRam.Model
Clash.Explicit.DDR Clash.Explicit.Mealy Clash.Explicit.Moore
Clash.Explicit.Prelude Clash.Explicit.Prelude.Safe
Clash.Explicit.RAM Clash.Explicit.ROM Clash.Explicit.ROM.Blob
Clash.Explicit.ROM.File Clash.Explicit.Reset Clash.Explicit.Signal
Clash.Explicit.Signal.Delayed Clash.Explicit.SimIO
Clash.Explicit.Synchronizer Clash.Explicit.Testbench
Clash.Explicit.Verification Clash.HaskellPrelude Clash.Hidden
Clash.Intel.ClockGen Clash.Intel.DDR Clash.Magic Clash.NamedTypes
Clash.Num.Erroring Clash.Num.Overflowing Clash.Num.Saturating
Clash.Num.Wrapping Clash.Num.Zeroing Clash.Prelude
Clash.Prelude.BlockRam Clash.Prelude.BlockRam.Blob
Clash.Prelude.BlockRam.File Clash.Prelude.DataFlow
Clash.Prelude.Mealy Clash.Prelude.Moore Clash.Prelude.RAM
Clash.Prelude.ROM Clash.Prelude.ROM.Blob Clash.Prelude.ROM.File
Clash.Prelude.Safe Clash.Prelude.Testbench Clash.Promoted.Nat
Clash.Promoted.Nat.Literals Clash.Promoted.Nat.TH
Clash.Promoted.Nat.Unsafe Clash.Promoted.Symbol Clash.Signal
Clash.Signal.BiSignal Clash.Signal.Bundle Clash.Signal.Delayed
Clash.Signal.Delayed.Bundle Clash.Signal.Delayed.Internal
Clash.Signal.Internal Clash.Signal.Internal.Ambiguous
Clash.Signal.Trace Clash.Sized.BitVector Clash.Sized.Fixed
Clash.Sized.Index Clash.Sized.Internal.BitVector
Clash.Sized.Internal.Index Clash.Sized.Internal.Mod
Clash.Sized.Internal.Signed Clash.Sized.Internal.Unsigned
Clash.Sized.RTree Clash.Sized.Signed Clash.Sized.Unsigned
Clash.Sized.Vector Clash.Tutorial Clash.Verification
Clash.Verification.DSL Clash.Verification.Internal Clash.XException
Clash.XException.Internal Clash.XException.MaybeX
Clash.XException.TH Clash.Xilinx.ClockGen Clash.Xilinx.DDR
hidden-modules:
Clash.Class.AutoReg.Instances Clash.Clocks.Internal Clash.CPP
Clash.Signal.Bundle.Internal Language.Haskell.TH.Compat
Paths_clash_prelude
import-dirs:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
library-dirs:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
library-dirs-static:
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
dynamic-library-dirs: /usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6
data-dir: /usr/share/clash-prelude
hs-libraries: HSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
depends:
QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew array-0.5.6.0
arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 base-4.18.2.1 binary-0.8.9.1
bytestring-0.11.5.3 constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0
containers-0.6.7 data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK
data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 deepseq-1.4.8.1
extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 ghc-bignum-1.3 ghc-prim-0.10.0
ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl
ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp
ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj
half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb
hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ
infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT
lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC mtl-2.3.1
recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw
reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU
singletons-3.0.3-3ANXyFmyli45G5VssrZrXX
string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3
template-haskell-2.20.0.0 text-2.0.2
th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH
th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou
th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 time-1.12.2
transformers-0.6.1.0 type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1
uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx
vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
haddock-interfaces:
/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/clash-prelude.haddock
haddock-html: /usr/share/doc/libghc-clash-prelude-doc/html/
Creating package registration file: clash-prelude-1.8.1.conf
Running ghc-pkg --package-db debian/tmp-db recache
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 depends
QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew array-0.5.6.0 arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 base-4.18.2.1 binary-0.8.9.1 bytestring-0.11.5.3 constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 containers-0.6.7 data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 deepseq-1.4.8.1 extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 ghc-bignum-1.3 ghc-prim-0.10.0 ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC mtl-2.3.1 recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU singletons-3.0.3-3ANXyFmyli45G5VssrZrXX string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 template-haskell-2.20.0.0 text-2.0.2 th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 time-1.12.2 transformers-0.6.1.0 type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
Running rm -rf clash-prelude-1.8.1.conf
dh_haskell_shlibdeps --package=libghc-clash-prelude-dev
Running cp debian/libghc-clash-prelude-dev/var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf debian/tmp-db
Running ghc-pkg --package-db debian/tmp-db recache
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 library-dirs
/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 extra-libraries
Running gcc -L/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A debian/dh_haskell_shlibdeps/probe.c -o debian/dh_haskell_shlibdeps/probe
Running dpkg-shlibdeps --warnings=1 -Tdebian/libghc-clash-prelude-dev.substvars debian/dh_haskell_shlibdeps/probe
dh_haskell_blurbs --package=libghc-clash-prelude-dev --type=dev
dh_haskell_description --package=libghc-clash-prelude-dev
Running grep-dctrl --no-field-names --show-field=Description --field=Source --regex .* debian/control
Running grep-dctrl --no-field-names --show-field=X-Description --field=Source --regex .* debian/control
Functional hardware description language - Prelude library
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
.
* Support for multiple clock domains, with type safe clock domain crossing.
.
This package provides:
.
* Prelude library containing datatypes and functions for circuit design
.
To use the library:
.
* Import "Clash.Prelude"
.
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
dh_haskell_compiler --package=libghc-clash-prelude-dev
Running dpkg-query --showformat=${Version} --show ghc
9.6.6-3
Adding cdbs dependencies to debian/libghc-clash-prelude-prof.substvars
dh_installdirs -plibghc-clash-prelude-prof \
dh_haskell_install_profiling_libs --package=libghc-clash-prelude-prof --source-dir="debian/tmp-inst-ghc"
Running find ./usr/lib/haskell-packages/ghc/lib ( -name *_p.a -o -name *.p_hi ) -exec install -D --mode=644 {} /<<PKGBUILDDIR>>/debian/libghc-clash-prelude-prof/{} ;
dh_haskell_provides_ghc --package=libghc-clash-prelude-prof --config-shipper="libghc-clash-prelude-dev"
Running cp debian/libghc-clash-prelude-dev/var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf debian/tmp-db
Running ghc-pkg --package-db debian/tmp-db recache
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 id
clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
Running ghc-pkg --package-db debian/tmp-db --simple-output --unit-id field clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A name
clash-prelude
Running ghc-pkg --package-db debian/tmp-db --simple-output --unit-id field clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A version
1.8.1
Running ghc-pkg --package-db debian/tmp-db --simple-output --unit-id field clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A abi
ba4c2bedd9de10ed8c2791e72df83ce3
dh_haskell_depends_cabal --package=libghc-clash-prelude-prof --config-shipper="libghc-clash-prelude-dev"
Running cp debian/libghc-clash-prelude-dev/var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf debian/tmp-db
Running ghc-pkg --package-db debian/tmp-db recache
Running ghc-pkg --package-db debian/tmp-db --simple-output field clash-prelude-1.8.1 depends
QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew array-0.5.6.0 arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 base-4.18.2.1 binary-0.8.9.1 bytestring-0.11.5.3 constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 containers-0.6.7 data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 deepseq-1.4.8.1 extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 ghc-bignum-1.3 ghc-prim-0.10.0 ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC mtl-2.3.1 recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU singletons-3.0.3-3ANXyFmyli45G5VssrZrXX string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 template-haskell-2.20.0.0 text-2.0.2 th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 time-1.12.2 transformers-0.6.1.0 type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL
Running ghc-pkg --global --simple-output --unit-id field QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew name
QuickCheck
Running ghc-pkg --global --simple-output --unit-id field QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew version
2.14.3
Running ghc-pkg --global --simple-output --unit-id field QuickCheck-2.14.3-2ib5howz5bF8WgkuPDgHew abi
1e8245f381b4271634d51a074868e1ac
Running ghc-pkg --global --simple-output --unit-id field array-0.5.6.0 name
array
Running ghc-pkg --global --simple-output --unit-id field array-0.5.6.0 version
0.5.6.0
Running ghc-pkg --global --simple-output --unit-id field array-0.5.6.0 abi
65c232ec2986e5134c1d311256bb2752
Running ghc-pkg --global --simple-output --unit-id field arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 name
arrows
Running ghc-pkg --global --simple-output --unit-id field arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 version
0.4.4.2
Running ghc-pkg --global --simple-output --unit-id field arrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15 abi
7b6dcb8619726cf4cca6c7831e991c03
Running ghc-pkg --global --simple-output --unit-id field base-4.18.2.1 name
base
Running ghc-pkg --global --simple-output --unit-id field base-4.18.2.1 version
4.18.2.1
Running ghc-pkg --global --simple-output --unit-id field base-4.18.2.1 abi
75247e98224aa00276c5a55902026a85
Running ghc-pkg --global --simple-output --unit-id field binary-0.8.9.1 name
binary
Running ghc-pkg --global --simple-output --unit-id field binary-0.8.9.1 version
0.8.9.1
Running ghc-pkg --global --simple-output --unit-id field binary-0.8.9.1 abi
88a2a74e2de3c84341c7ce807b14ef59
Running ghc-pkg --global --simple-output --unit-id field bytestring-0.11.5.3 name
bytestring
Running ghc-pkg --global --simple-output --unit-id field bytestring-0.11.5.3 version
0.11.5.3
Running ghc-pkg --global --simple-output --unit-id field bytestring-0.11.5.3 abi
31c8590028b37ead05d5ecfcdc1f9a66
Running ghc-pkg --global --simple-output --unit-id field constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 name
constraints
Running ghc-pkg --global --simple-output --unit-id field constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 version
0.14.2
Running ghc-pkg --global --simple-output --unit-id field constraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0 abi
f18877247d20e42111a977479cb2e7c4
Running ghc-pkg --global --simple-output --unit-id field containers-0.6.7 name
containers
Running ghc-pkg --global --simple-output --unit-id field containers-0.6.7 version
0.6.7
Running ghc-pkg --global --simple-output --unit-id field containers-0.6.7 abi
3eda8e2eab328d8c6cab76e3e0e07a12
Running ghc-pkg --global --simple-output --unit-id field data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK name
data-binary-ieee754
Running ghc-pkg --global --simple-output --unit-id field data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK version
0.4.4
Running ghc-pkg --global --simple-output --unit-id field data-binary-ieee754-0.4.4-4UW07Uhzyok6gyNDRTrrKK abi
a40b81515e709b16e6dd4209e502e986
Running ghc-pkg --global --simple-output --unit-id field data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 name
data-default-class
Running ghc-pkg --global --simple-output --unit-id field data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 version
0.1.2.2
Running ghc-pkg --global --simple-output --unit-id field data-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7 abi
3b83cc8676717d37f98b370886043e33
Running ghc-pkg --global --simple-output --unit-id field deepseq-1.4.8.1 name
deepseq
Running ghc-pkg --global --simple-output --unit-id field deepseq-1.4.8.1 version
1.4.8.1
Running ghc-pkg --global --simple-output --unit-id field deepseq-1.4.8.1 abi
71feb269b8410f74472145022824fbce
Running ghc-pkg --global --simple-output --unit-id field extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 name
extra
Running ghc-pkg --global --simple-output --unit-id field extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 version
1.7.16
Running ghc-pkg --global --simple-output --unit-id field extra-1.7.16-7qPYtUEmtRSH3WrnonFiW7 abi
006375affa43ec8a4e880f780dc41036
Running ghc-pkg --global --simple-output --unit-id field ghc-bignum-1.3 name
ghc-bignum
Running ghc-pkg --global --simple-output --unit-id field ghc-bignum-1.3 version
1.3
Running ghc-pkg --global --simple-output --unit-id field ghc-bignum-1.3 abi
ff2dd3d0a939709c121519995e3c1eef
Running ghc-pkg --global --simple-output --unit-id field ghc-prim-0.10.0 name
ghc-prim
Running ghc-pkg --global --simple-output --unit-id field ghc-prim-0.10.0 version
0.10.0
Running ghc-pkg --global --simple-output --unit-id field ghc-prim-0.10.0 abi
e21934ea5fef36dbd841de3426bfbd18
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl name
ghc-typelits-extra
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl version
0.4.7
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-extra-0.4.7-922QVJ3w0zx1f4EDcEcdAl abi
3ad02ffd9f1db630f1f0b258ebd67f25
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp name
ghc-typelits-knownnat
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp version
0.7.12
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-knownnat-0.7.12-L6k1xXRX5f96li4HFEiwOp abi
1c6d62178e54ec48347245c752de7449
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj name
ghc-typelits-natnormalise
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj version
0.7.10
Running ghc-pkg --global --simple-output --unit-id field ghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj abi
5de26a17de77773cb2c3cad5b8676ad3
Running ghc-pkg --global --simple-output --unit-id field half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb name
half
Running ghc-pkg --global --simple-output --unit-id field half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb version
0.3.1
Running ghc-pkg --global --simple-output --unit-id field half-0.3.1-H4tuI2JRlq2ChsYBLMzzDb abi
c51122e4dd09b3ca633eae697cc1c485
Running ghc-pkg --global --simple-output --unit-id field hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ name
hashable
Running ghc-pkg --global --simple-output --unit-id field hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ version
1.4.4.0
Running ghc-pkg --global --simple-output --unit-id field hashable-1.4.4.0-6LwNVbCxvPM4xnIyHdPbWQ abi
3ee83932148307fa78043fe85ff9bb4b
Running ghc-pkg --global --simple-output --unit-id field infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT name
infinite-list
Running ghc-pkg --global --simple-output --unit-id field infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT version
0.1.1
Running ghc-pkg --global --simple-output --unit-id field infinite-list-0.1.1-FDoxrVusoUb2ldMAzGpMyT abi
c57482e9906a8d6abf65e896d1d89d33
Running ghc-pkg --global --simple-output --unit-id field lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC name
lens
Running ghc-pkg --global --simple-output --unit-id field lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC version
5.2.3
Running ghc-pkg --global --simple-output --unit-id field lens-5.2.3-ICaKlpedhXqJHd7Rpwf3dC abi
9e38782c4074a89a262c780bce40d7db
Running ghc-pkg --global --simple-output --unit-id field mtl-2.3.1 name
mtl
Running ghc-pkg --global --simple-output --unit-id field mtl-2.3.1 version
2.3.1
Running ghc-pkg --global --simple-output --unit-id field mtl-2.3.1 abi
f811957517e7c91db2b7d92df17038fe
Running ghc-pkg --global --simple-output --unit-id field recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw name
recursion-schemes
Running ghc-pkg --global --simple-output --unit-id field recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw version
5.2.3
Running ghc-pkg --global --simple-output --unit-id field recursion-schemes-5.2.3-KTzrnKvaf16CUg79ziigw abi
6c031a94323a950a3e98461ba3ae6aec
Running ghc-pkg --global --simple-output --unit-id field reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU name
reflection
Running ghc-pkg --global --simple-output --unit-id field reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU version
2.1.8
Running ghc-pkg --global --simple-output --unit-id field reflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU abi
294f73b2614e0f8918e97c90081e66ab
Running ghc-pkg --global --simple-output --unit-id field singletons-3.0.3-3ANXyFmyli45G5VssrZrXX name
singletons
Running ghc-pkg --global --simple-output --unit-id field singletons-3.0.3-3ANXyFmyli45G5VssrZrXX version
3.0.3
Running ghc-pkg --global --simple-output --unit-id field singletons-3.0.3-3ANXyFmyli45G5VssrZrXX abi
26f51e85d389fab4130cee2ebcd7a7a2
Running ghc-pkg --global --simple-output --unit-id field string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 name
string-interpolate
Running ghc-pkg --global --simple-output --unit-id field string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 version
0.3.4.0
Running ghc-pkg --global --simple-output --unit-id field string-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3 abi
5d07feefd0818e577da973d7a2b4e272
Running ghc-pkg --global --simple-output --unit-id field template-haskell-2.20.0.0 name
template-haskell
Running ghc-pkg --global --simple-output --unit-id field template-haskell-2.20.0.0 version
2.20.0.0
Running ghc-pkg --global --simple-output --unit-id field template-haskell-2.20.0.0 abi
a6103ac9db3b9846a1f9fd584ae19de7
Running ghc-pkg --global --simple-output --unit-id field text-2.0.2 name
text
Running ghc-pkg --global --simple-output --unit-id field text-2.0.2 version
2.0.2
Running ghc-pkg --global --simple-output --unit-id field text-2.0.2 abi
edcc74d46bc3b20600110db51c75c238
Running ghc-pkg --global --simple-output --unit-id field th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH name
th-abstraction
Running ghc-pkg --global --simple-output --unit-id field th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH version
0.5.0.0
Running ghc-pkg --global --simple-output --unit-id field th-abstraction-0.5.0.0-HAFjiAO2nGN58SdxVZCnLH abi
ba92f791a4b9f3ed9f68e1d3401c8d99
Running ghc-pkg --global --simple-output --unit-id field th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou name
th-lift
Running ghc-pkg --global --simple-output --unit-id field th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou version
0.8.4
Running ghc-pkg --global --simple-output --unit-id field th-lift-0.8.4-1nDn5GaGtOI9q63njtRfou abi
5b66870ca416d4f064c1de761a4e7b12
Running ghc-pkg --global --simple-output --unit-id field th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 name
th-orphans
Running ghc-pkg --global --simple-output --unit-id field th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 version
0.13.14
Running ghc-pkg --global --simple-output --unit-id field th-orphans-0.13.14-H7pZPgmbv4eJ1RPEls6ca3 abi
6531a0674352292e6d43bba568c0665e
Running ghc-pkg --global --simple-output --unit-id field time-1.12.2 name
time
Running ghc-pkg --global --simple-output --unit-id field time-1.12.2 version
1.12.2
Running ghc-pkg --global --simple-output --unit-id field time-1.12.2 abi
a9cccb66c0a7c723756ecc7e2162f4b4
Running ghc-pkg --global --simple-output --unit-id field transformers-0.6.1.0 name
transformers
Running ghc-pkg --global --simple-output --unit-id field transformers-0.6.1.0 version
0.6.1.0
Running ghc-pkg --global --simple-output --unit-id field transformers-0.6.1.0 abi
5335d0a26806e653058e1ea1669e46ff
Running ghc-pkg --global --simple-output --unit-id field type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 name
type-errors
Running ghc-pkg --global --simple-output --unit-id field type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 version
0.2.0.2
Running ghc-pkg --global --simple-output --unit-id field type-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1 abi
c32b194c726ad89926e351f1da87c855
Running ghc-pkg --global --simple-output --unit-id field uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx name
uniplate
Running ghc-pkg --global --simple-output --unit-id field uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx version
1.6.13
Running ghc-pkg --global --simple-output --unit-id field uniplate-1.6.13-8IPoFwzM8OQ6V56YCCRklx abi
3d663341a0aaf63453d6182a9ae46a5a
Running ghc-pkg --global --simple-output --unit-id field vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL name
vector
Running ghc-pkg --global --simple-output --unit-id field vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL version
0.13.1.0
Running ghc-pkg --global --simple-output --unit-id field vector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL abi
c924b4bb45a956abae3468af9c0335bb
dh_haskell_blurbs --package=libghc-clash-prelude-prof --type=prof
dh_haskell_description --package=libghc-clash-prelude-prof
Running grep-dctrl --no-field-names --show-field=Description --field=Source --regex .* debian/control
Running grep-dctrl --no-field-names --show-field=X-Description --field=Source --regex .* debian/control
Functional hardware description language - Prelude library
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
.
* Support for multiple clock domains, with type safe clock domain crossing.
.
This package provides:
.
* Prelude library containing datatypes and functions for circuit design
.
To use the library:
.
* Import "Clash.Prelude"
.
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
dh_haskell_compiler --package=libghc-clash-prelude-prof
Running dpkg-query --showformat=${Version} --show ghc
9.6.6-3
dh_installdocs -plibghc-clash-prelude-dev
dh_installexamples -plibghc-clash-prelude-dev
dh_installman -plibghc-clash-prelude-dev
dh_installinfo -plibghc-clash-prelude-dev
dh_installmenu -plibghc-clash-prelude-dev
dh_installcron -plibghc-clash-prelude-dev
dh_systemd_enable -plibghc-clash-prelude-dev
dh_installinit -plibghc-clash-prelude-dev
dh_installdebconf -plibghc-clash-prelude-dev
dh_installemacsen -plibghc-clash-prelude-dev
dh_installcatalogs -plibghc-clash-prelude-dev
dh_installpam -plibghc-clash-prelude-dev
dh_installlogrotate -plibghc-clash-prelude-dev
dh_installlogcheck -plibghc-clash-prelude-dev
dh_installchangelogs -plibghc-clash-prelude-dev
dh_installudev -plibghc-clash-prelude-dev
dh_lintian -plibghc-clash-prelude-dev
dh_bugfiles -plibghc-clash-prelude-dev
dh_install -plibghc-clash-prelude-dev
dh_systemd_start -plibghc-clash-prelude-dev
dh_link -plibghc-clash-prelude-dev
dh_buildinfo -plibghc-clash-prelude-dev
dh_installmime -plibghc-clash-prelude-dev
dh_installgsettings -plibghc-clash-prelude-dev
dh_installdocs -plibghc-clash-prelude-prof
dh_installexamples -plibghc-clash-prelude-prof
dh_installman -plibghc-clash-prelude-prof
dh_installinfo -plibghc-clash-prelude-prof
dh_installmenu -plibghc-clash-prelude-prof
dh_installcron -plibghc-clash-prelude-prof
dh_systemd_enable -plibghc-clash-prelude-prof
dh_installinit -plibghc-clash-prelude-prof
dh_installdebconf -plibghc-clash-prelude-prof
dh_installemacsen -plibghc-clash-prelude-prof
dh_installcatalogs -plibghc-clash-prelude-prof
dh_installpam -plibghc-clash-prelude-prof
dh_installlogrotate -plibghc-clash-prelude-prof
dh_installlogcheck -plibghc-clash-prelude-prof
dh_installchangelogs -plibghc-clash-prelude-prof
dh_installudev -plibghc-clash-prelude-prof
dh_lintian -plibghc-clash-prelude-prof
dh_bugfiles -plibghc-clash-prelude-prof
dh_install -plibghc-clash-prelude-prof
dh_systemd_start -plibghc-clash-prelude-prof
dh_link -plibghc-clash-prelude-prof
dh_buildinfo -plibghc-clash-prelude-prof
dh_installmime -plibghc-clash-prelude-prof
dh_installgsettings -plibghc-clash-prelude-prof
dh_strip -plibghc-clash-prelude-dev --no-automatic-dbgsym
dh_strip_nondeterminism -plibghc-clash-prelude-dev
dh_compress -plibghc-clash-prelude-dev -X .haddock -X .hs -X .txt
dh_fixperms -plibghc-clash-prelude-dev
dh_makeshlibs -plibghc-clash-prelude-dev -XlibHS
dh_strip -plibghc-clash-prelude-prof --no-automatic-dbgsym
dh_strip_nondeterminism -plibghc-clash-prelude-prof
dh_compress -plibghc-clash-prelude-prof -X .haddock -X .hs -X .txt
dh_fixperms -plibghc-clash-prelude-prof
dh_makeshlibs -plibghc-clash-prelude-prof -XlibHS
dh_installdeb -plibghc-clash-prelude-dev
dh_perl -plibghc-clash-prelude-dev
dh_shlibdeps -plibghc-clash-prelude-dev -- --ignore-missing-info
dpkg-shlibdeps: warning: debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so contains an unresolvable reference to symbol stg_gc_unbx_r1: it's probably a plugin
dpkg-shlibdeps: warning: 69 other similar warnings have been skipped (use -v to see them all)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSinvariant-0.6.3-QM1qn8PgGJCQj1l65TMOI-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSbase64-bytestring-1.2.1.0-FPYgDX1C1TU4Ubq8stF7K9-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSsemigroupoids-6.0.1-IDBblDQusMDBx6sY6XBA8y-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSunix-2.8.4.0-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSfree-5.2-KWff7n4cqUNDIhh6tjmIdW-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSos-string-2.0.6-KoVBRYToiZNKBGfpQU5BBD-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSadjunctions-4.4.2-CzKWYGTFIFcLv3OUkh4dsv-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSvoid-0.7.3-G4YN601gfJi8uMn6vxUCAZ-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libgmp.so.10 (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSsplit-0.2.5-ASm9S4CWxpg5KjSJ1ec3hG-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSmtl-2.3.1-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSprofunctors-5.6.2-DCPOLAuWQY0EBCs24GReCn-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSreflection-2.1.8-1bQ4i0YBEOZ1AmfSelalPU-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSdirectory-1.3.8.5-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSOneTuple-0.4.2-7od06fXUUXRBNk1V3VY0g5-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSStateVar-1.2.2-A6sCENWC9xLH02ziP9IDyb-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSprocess-1.6.19.0-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSstm-2.5.1.0-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghc-9.6.6-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSdistributive-0.6.2.1-KjxcgweLuavE5urVHzHy6k-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSkan-extensions-5.2.6-Eg5pXKMpKAkGhYRTqyRadZ-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSsemigroups-0.20-FifEs3zbUBKDT9bErGELTt-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSclock-0.8.4-3296s51dIjRIlLWyVuI7gw-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSlazysmallcheck-0.6-IWk82oVJh1K3AL7UYRy21R-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSassoc-1.1.1-LimEe8pF5RrAGc6oZe3mfa-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHStransformers-compat-0.7.2-9cajhRB8pSvHajETe6sIyc-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSdata-fix-0.3.4-2T8Y6CLM1NK8HNGuH4zFT3-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSfilepath-1.4.300.1-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSsafe-0.3.21-F46Xmb3LoXlCc8daI6vSkg-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSth-reify-many-0.1.10-AEwlPe68VN3aM3IVtv2T9-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSconstraints-0.14.2-7Rf7nLrqqtNAT6wKwpOHT0-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSsyb-0.7.2.4-CGf6yzYBtNj1GaPOXC2hje-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSfirst-class-families-0.8.1.0-7nk8sVtYj7D22wXygDy92-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHStransformers-base-0.4.6-FUTw6YRKKqJEn3uuiIzqvb-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libm.so.6 (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSth-compat-0.1.5-Ap02d2h702v6wFrFo1yOIw-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSstrict-0.5-Ky9jH7bEMfMAC0r1de8UaL-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSvector-0.13.1.0-Jdel1KiNlSEIXGg2MpN3IL-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHScontravariant-1.5.5-A7lal0hcJpG2Mk9fe5J59Z-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHStagged-0.8.8-Kzng2lnKElzJiyKd9g735c-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghc-heap-9.6.6-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSth-expand-syns-0.4.11.0-LaP5gbXEdkI1wM4lGTIMhN-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghc-boot-9.6.6-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSbase-orphans-0.9.2-5KrayDGKJf32IfEBx9TBiS-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHScall-stack-0.4.0-GZ3nSiFdomD3K5ojbP90a6-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHStransformers-0.6.1.0-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghc-typelits-natnormalise-0.7.10-DJIIs24Ggom8iqBInoDNlj-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSdata-default-class-0.1.2.2-3kQ3c1XRgoF7FRZl6AkjT7-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSprimitive-0.8.0.0-G7z1XrhwN0bFkYsIqIr1QU-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSbase16-bytestring-1.0.2.0-7dLjEOJDdBNFW2UWv9zpg8-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSarrows-0.4.4.2-Ey9cB1eIW6GDaflKUFUd15-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHShpc-0.6.2.0-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSextra-1.7.16-7qPYtUEmtRSH3WrnonFiW7-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSutf8-string-1.0.2-4EKzqy1iFzL6FQeKmc9Leh-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSstring-interpolate-0.3.4.0-6liRgXbY7cX5oXdeO5SLR3-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHStext-conversions-0.3.1.1-GWh2LgmcSdI4NB7zwHaBIq-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSbifunctors-5.6.2-KsAAHBmzKwpDPdrUe1LfsZ-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSparallel-3.2.2.0-4GFGhbl8Ae06nPoqdIyBUD-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSunordered-containers-0.2.20-L2f4rG7tZCFBbDP6h5B45u-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSboring-0.2.2-I0tBY1I8sYa9lVAnLMQgBW-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghc-tcplugins-extra-0.4.6-8jnb9LKqBU6oSOotQFBXY-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSindexed-traversable-0.1.4-8j5HZpShpE5BqFup9Ojenr-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSexceptions-0.10.7-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSvector-stream-0.1.0.1-B3eeou3yuYd7g2aD4u5s22-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSindexed-traversable-instances-0.1.2-F8QIffD2ceQHQhfgezhi1g-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSStream-0.4.7.2-8p6yYeZGf0J1ndypUmjSyS-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSthese-1.2.1-GwBSXWqhVTa2lyElRHylBt-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSrandom-1.2.1.2-9nSipNyPzIK3OtGny4BWjI-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHStype-errors-0.2.0.2-DWyY17T2MOTDmS7XjJGTa1-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHShaskell-src-exts-1.23.1-AH4Ip6Ctvxv6HZmnCjNeVF-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHShaskell-src-meta-0.8.14-HXdGOXPJncmvAH1vDkJSd-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghc-boot-th-9.6.6-ghc9.6.6.so (it uses none of the library's symbols)
dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/libghc-clash-prelude-dev/usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so was not linked against libHSghci-9.6.6-ghc9.6.6.so (it uses none of the library's symbols)
dh_installdeb -plibghc-clash-prelude-prof
dh_perl -plibghc-clash-prelude-prof
dh_shlibdeps -plibghc-clash-prelude-prof -- --ignore-missing-info
dh_gencontrol -plibghc-clash-prelude-dev -- '-DGHC-Package=${haskell:ghc-package}'
dpkg-gencontrol: warning: Recommends field of package libghc-clash-prelude-dev: substitution variable ${haskell:Recommends} used, but is not defined
dpkg-gencontrol: warning: Suggests field of package libghc-clash-prelude-dev: substitution variable ${haskell:Suggests} used, but is not defined
dpkg-gencontrol: warning: Conflicts field of package libghc-clash-prelude-dev: substitution variable ${haskell:Conflicts} used, but is not defined
dpkg-gencontrol: warning: package libghc-clash-prelude-dev: substitution variable ${haskell:ghc-version} unused, but is defined
# only call dh_scour for packages in main
if grep -q '^Component:[[:space:]]*main' /CurrentlyBuilding 2>/dev/null; then dh_scour -plibghc-clash-prelude-dev ; fi
dh_md5sums -plibghc-clash-prelude-dev
dh_builddeb -plibghc-clash-prelude-dev
dpkg-deb: building package 'libghc-clash-prelude-dev' in '../libghc-clash-prelude-dev_1.8.1-2_arm64.deb'.
dh_gencontrol -plibghc-clash-prelude-prof
dpkg-gencontrol: warning: Recommends field of package libghc-clash-prelude-prof: substitution variable ${haskell:Recommends} used, but is not defined
dpkg-gencontrol: warning: Suggests field of package libghc-clash-prelude-prof: substitution variable ${haskell:Suggests} used, but is not defined
dpkg-gencontrol: warning: Conflicts field of package libghc-clash-prelude-prof: substitution variable ${haskell:Conflicts} used, but is not defined
dpkg-gencontrol: warning: package libghc-clash-prelude-prof: substitution variable ${haskell:ghc-package} unused, but is defined
dpkg-gencontrol: warning: package libghc-clash-prelude-prof: substitution variable ${haskell:ghc-version} unused, but is defined
# only call dh_scour for packages in main
if grep -q '^Component:[[:space:]]*main' /CurrentlyBuilding 2>/dev/null; then dh_scour -plibghc-clash-prelude-prof ; fi
dh_md5sums -plibghc-clash-prelude-prof
dh_builddeb -plibghc-clash-prelude-prof
dpkg-deb: building package 'libghc-clash-prelude-prof' in '../libghc-clash-prelude-prof_1.8.1-2_arm64.deb'.
dpkg-genbuildinfo --build=binary -O../haskell-clash-prelude_1.8.1-2_arm64.buildinfo
dpkg-genchanges --build=binary -O../haskell-clash-prelude_1.8.1-2_arm64.changes
dpkg-genchanges: warning: substitution variable ${haskell:ShortDescription} used, but is not defined
dpkg-genchanges: warning: substitution variable ${haskell:ShortBlurb} used, but is not defined
dpkg-genchanges: warning: substitution variable ${haskell:ShortDescription} used, but is not defined
dpkg-genchanges: warning: substitution variable ${haskell:ShortBlurb} used, but is not defined
dpkg-genchanges: warning: substitution variable ${haskell:ShortDescription} used, but is not defined
dpkg-genchanges: warning: substitution variable ${haskell:ShortBlurb} used, but is not defined
dpkg-genchanges: info: binary-only upload (no source code included)
dpkg-source --after-build .
dpkg-buildpackage: info: binary-only upload (no source included)
--------------------------------------------------------------------------------
Build finished at 2024-11-16T13:19:28Z
Finished
--------
I: Built successfully
+------------------------------------------------------------------------------+
| Changes |
+------------------------------------------------------------------------------+
haskell-clash-prelude_1.8.1-2_arm64.changes:
--------------------------------------------
Format: 1.8
Date: Mon, 07 Oct 2024 21:18:53 -0400
Source: haskell-clash-prelude
Binary: libghc-clash-prelude-dev libghc-clash-prelude-doc libghc-clash-prelude-prof
Architecture: arm64 all
Version: 1.8.1-2
Distribution: sid
Urgency: medium
Maintainer: Debian Haskell Group <pkg-haskell-maintainers@lists.alioth.debian.org>
Changed-By: Scott Talbert <swt@techie.net>
Description:
libghc-clash-prelude-dev -
libghc-clash-prelude-doc -
libghc-clash-prelude-prof -
Changes:
haskell-clash-prelude (1.8.1-2) unstable; urgency=medium
.
[ Ilias Tsitsimpis ]
* Declare compliance with Debian policy 4.7.0
.
[ Scott Talbert ]
* Sourceful upload for GHC 9.6
Checksums-Sha1:
0a9537908f201361d526100ea08b3947c75436a0 17293 haskell-clash-prelude_1.8.1-2_arm64.buildinfo
57566cf15d9c068c943b13d9c816fd9add0ef4c9 3172928 libghc-clash-prelude-dev_1.8.1-2_arm64.deb
661ebfeba0009a9bc3d75d78da251dd1a93cf7a4 1849856 libghc-clash-prelude-doc_1.8.1-2_all.deb
83eb8c88a4f15970f0bf6456cd830c3e1c5e70b7 3302532 libghc-clash-prelude-prof_1.8.1-2_arm64.deb
Checksums-Sha256:
e192f9c5d7da3608e31d9c8326f63ebfe9e15bcd6d04b83a5cf68db4b417b047 17293 haskell-clash-prelude_1.8.1-2_arm64.buildinfo
3a17e8b03d800b18cbfaf2f75a113c46b7b27861c68911b620688c785b349b69 3172928 libghc-clash-prelude-dev_1.8.1-2_arm64.deb
a8ab64d956e06d15f9380440fa092fc5aaf5ee2692e491007a1fdac77cf2df47 1849856 libghc-clash-prelude-doc_1.8.1-2_all.deb
f5fa84245f37a67daa0e10dc798a7d756d6b12c34008dbeef940cf55876a1c84 3302532 libghc-clash-prelude-prof_1.8.1-2_arm64.deb
Files:
abd2a586ffdb20ddf4cdfb90d5ddc5d7 17293 haskell optional haskell-clash-prelude_1.8.1-2_arm64.buildinfo
7ccc28e185aec0cd81bb32e6c3d6ea23 3172928 haskell optional libghc-clash-prelude-dev_1.8.1-2_arm64.deb
b837799881358315be9bc199ca094f4c 1849856 doc optional libghc-clash-prelude-doc_1.8.1-2_all.deb
41a1d3dadb92172d53938854589f2d29 3302532 haskell optional libghc-clash-prelude-prof_1.8.1-2_arm64.deb
+------------------------------------------------------------------------------+
| Buildinfo |
+------------------------------------------------------------------------------+
Format: 1.0
Source: haskell-clash-prelude
Binary: libghc-clash-prelude-dev libghc-clash-prelude-doc libghc-clash-prelude-prof
Architecture: all arm64
Version: 1.8.1-2
Checksums-Md5:
7ccc28e185aec0cd81bb32e6c3d6ea23 3172928 libghc-clash-prelude-dev_1.8.1-2_arm64.deb
b837799881358315be9bc199ca094f4c 1849856 libghc-clash-prelude-doc_1.8.1-2_all.deb
41a1d3dadb92172d53938854589f2d29 3302532 libghc-clash-prelude-prof_1.8.1-2_arm64.deb
Checksums-Sha1:
57566cf15d9c068c943b13d9c816fd9add0ef4c9 3172928 libghc-clash-prelude-dev_1.8.1-2_arm64.deb
661ebfeba0009a9bc3d75d78da251dd1a93cf7a4 1849856 libghc-clash-prelude-doc_1.8.1-2_all.deb
83eb8c88a4f15970f0bf6456cd830c3e1c5e70b7 3302532 libghc-clash-prelude-prof_1.8.1-2_arm64.deb
Checksums-Sha256:
3a17e8b03d800b18cbfaf2f75a113c46b7b27861c68911b620688c785b349b69 3172928 libghc-clash-prelude-dev_1.8.1-2_arm64.deb
a8ab64d956e06d15f9380440fa092fc5aaf5ee2692e491007a1fdac77cf2df47 1849856 libghc-clash-prelude-doc_1.8.1-2_all.deb
f5fa84245f37a67daa0e10dc798a7d756d6b12c34008dbeef940cf55876a1c84 3302532 libghc-clash-prelude-prof_1.8.1-2_arm64.deb
Build-Origin: Debian
Build-Architecture: arm64
Build-Date: Sat, 16 Nov 2024 13:19:28 +0000
Build-Path: /<<PKGBUILDDIR>>
Build-Tainted-By:
merged-usr-via-aliased-dirs
Installed-Build-Depends:
autoconf (= 2.72-3),
automake (= 1:1.16.5-1.3),
autopoint (= 0.22.5-2),
autotools-dev (= 20220109.1),
base-files (= 13.5),
base-passwd (= 3.6.5),
bash (= 5.2.32-1+b2),
binutils (= 2.43.1-5),
binutils-aarch64-linux-gnu (= 2.43.1-5),
binutils-common (= 2.43.1-5),
bsdextrautils (= 2.40.2-11),
bsdutils (= 1:2.40.2-11),
build-essential (= 12.12),
bzip2 (= 1.0.8-6),
cdbs (= 0.4.166),
coreutils (= 9.5-1+b1),
cpp (= 4:14.2.0-1),
cpp-14 (= 14.2.0-8),
cpp-14-aarch64-linux-gnu (= 14.2.0-8),
cpp-aarch64-linux-gnu (= 4:14.2.0-1),
dash (= 0.5.12-9+b1),
dctrl-tools (= 2.24-3+b1),
debconf (= 1.5.87),
debhelper (= 13.20),
debianutils (= 5.21),
dh-autoreconf (= 20),
dh-buildinfo (= 0.11+nmu3),
dh-strip-nondeterminism (= 1.14.0-1),
diffutils (= 1:3.10-1+b1),
dpkg (= 1.22.12~1.gbp82cafd),
dpkg-dev (= 1.22.12~1.gbp82cafd),
dwz (= 0.15-1+b1),
file (= 1:5.45-3+b1),
findutils (= 4.10.0-3),
fonts-mathjax (= 2.7.9+dfsg-1),
g++ (= 4:14.2.0-1),
g++-14 (= 14.2.0-8),
g++-14-aarch64-linux-gnu (= 14.2.0-8),
g++-aarch64-linux-gnu (= 4:14.2.0-1),
gcc (= 4:14.2.0-1),
gcc-14 (= 14.2.0-8),
gcc-14-aarch64-linux-gnu (= 14.2.0-8),
gcc-14-base (= 14.2.0-8),
gcc-aarch64-linux-gnu (= 4:14.2.0-1),
gettext (= 0.22.5-2),
gettext-base (= 0.22.5-2),
ghc (= 9.6.6-3),
ghc-doc (= 9.6.6-3),
ghc-prof (= 9.6.6-3),
grep (= 3.11-4+b1),
groff-base (= 1.23.0-5),
gzip (= 1.12-1.1+b1),
haskell-devscripts-minimal (= 0.16.33),
hostname (= 3.25),
html-xml-utils (= 7.7-1.1+b2),
init-system-helpers (= 1.67),
intltool-debian (= 0.35.0+20060710.6),
libacl1 (= 2.3.2-2+b1),
libarchive-zip-perl (= 1.68-1),
libasan8 (= 14.2.0-8),
libatomic1 (= 14.2.0-8),
libattr1 (= 1:2.5.2-2),
libaudit-common (= 1:4.0.2-2),
libaudit1 (= 1:4.0.2-2),
libb-hooks-op-check-perl (= 0.22-3+b2),
libbinutils (= 2.43.1-5),
libblkid1 (= 2.40.2-11),
libbrotli1 (= 1.1.0-2+b6),
libbsd-dev (= 0.12.2-2),
libbsd0 (= 0.12.2-2),
libbz2-1.0 (= 1.0.8-6),
libc-bin (= 2.40-3),
libc-dev-bin (= 2.40-3),
libc6 (= 2.40-3),
libc6-dev (= 2.40-3),
libcap-ng0 (= 0.8.5-3+b1),
libcap2 (= 1:2.66-5+b1),
libcc1-0 (= 14.2.0-8),
libcom-err2 (= 1.47.1-1+b1),
libconst-fast-perl (= 0.014-2),
libcrypt-dev (= 1:4.4.36-5),
libcrypt1 (= 1:4.4.36-5),
libctf-nobfd0 (= 2.43.1-5),
libctf0 (= 2.43.1-5),
libcurl3t64-gnutls (= 8.11.0-1),
libdata-optlist-perl (= 0.114-1),
libdb5.3t64 (= 5.3.28+dfsg2-9),
libdebconfclient0 (= 0.273),
libdebhelper-perl (= 13.20),
libdevel-callchecker-perl (= 0.009-1+b1),
libdevel-confess-perl (= 0.009004-4),
libdpkg-perl (= 1.22.12~1.gbp82cafd),
libdynaloader-functions-perl (= 0.004-1),
libelf1t64 (= 0.192-4),
libexpat1 (= 2.6.4-1),
libffi-dev (= 3.4.6-1),
libffi8 (= 3.4.6-1),
libfile-stripnondeterminism-perl (= 1.14.0-1),
libgcc-14-dev (= 14.2.0-8),
libgcc-s1 (= 14.2.0-8),
libgdbm-compat4t64 (= 1.24-2),
libgdbm6t64 (= 1.24-2),
libghc-adjunctions-dev (= 4.4.2-3),
libghc-adjunctions-prof (= 4.4.2-3),
libghc-ansi-terminal-dev (= 1.0.2-1),
libghc-ansi-terminal-prof (= 1.0.2-1),
libghc-ansi-terminal-types-dev (= 0.11.5-3),
libghc-ansi-terminal-types-prof (= 0.11.5-3),
libghc-arrows-dev (= 0.4.4.2-6),
libghc-arrows-doc (= 0.4.4.2-6),
libghc-arrows-prof (= 0.4.4.2-6),
libghc-assoc-dev (= 1.1.1-1),
libghc-assoc-prof (= 1.1.1-1),
libghc-async-dev (= 2.2.5-1),
libghc-async-prof (= 2.2.5-1),
libghc-barbies-dev (= 2.0.5.0-1),
libghc-barbies-prof (= 2.0.5.0-1),
libghc-base-compat-dev (= 0.13.1-1),
libghc-base-compat-prof (= 0.13.1-1),
libghc-base-orphans-dev (= 0.9.2-1),
libghc-base-orphans-prof (= 0.9.2-1),
libghc-base16-bytestring-dev (= 1.0.2.0-3),
libghc-base16-bytestring-prof (= 1.0.2.0-3),
libghc-base64-bytestring-dev (= 1.2.1.0-3),
libghc-base64-bytestring-prof (= 1.2.1.0-3),
libghc-bifunctors-dev (= 5.6.2-1),
libghc-bifunctors-prof (= 5.6.2-1),
libghc-boring-dev (= 0.2.2-1),
libghc-boring-prof (= 0.2.2-1),
libghc-call-stack-dev (= 0.4.0-3),
libghc-call-stack-prof (= 0.4.0-3),
libghc-clock-dev (= 0.8.4-2),
libghc-clock-prof (= 0.8.4-2),
libghc-code-page-dev (= 0.2.1-3),
libghc-code-page-prof (= 0.2.1-3),
libghc-colour-dev (= 2.3.6-3),
libghc-colour-prof (= 2.3.6-3),
libghc-comonad-dev (= 5.0.8-3),
libghc-comonad-prof (= 5.0.8-3),
libghc-concurrent-output-dev (= 1.10.21-1),
libghc-concurrent-output-prof (= 1.10.21-1),
libghc-constraints-dev (= 0.14.2-1),
libghc-constraints-doc (= 0.14.2-1),
libghc-constraints-prof (= 0.14.2-1),
libghc-contravariant-dev (= 1.5.5-3),
libghc-contravariant-prof (= 1.5.5-3),
libghc-data-binary-ieee754-dev (= 0.4.4-13),
libghc-data-binary-ieee754-doc (= 0.4.4-13),
libghc-data-binary-ieee754-prof (= 0.4.4-13),
libghc-data-default-class-dev (= 0.1.2.2-1),
libghc-data-default-class-doc (= 0.1.2.2-1),
libghc-data-default-class-prof (= 0.1.2.2-1),
libghc-data-fix-dev (= 0.3.4-1),
libghc-data-fix-prof (= 0.3.4-1),
libghc-distributive-dev (= 0.6.2.1-3),
libghc-distributive-prof (= 0.6.2.1-3),
libghc-dlist-dev (= 1.0-4),
libghc-dlist-prof (= 1.0-4),
libghc-doctest-parallel-dev (= 0.3.1.1-1+b1),
libghc-doctest-parallel-prof (= 0.3.1.1-1+b1),
libghc-erf-dev (= 2.0.0.0-19),
libghc-erf-prof (= 2.0.0.0-19),
libghc-extra-dev (= 1.7.16-1),
libghc-extra-doc (= 1.7.16-1),
libghc-extra-prof (= 1.7.16-1),
libghc-first-class-families-dev (= 0.8.1.0-1),
libghc-first-class-families-prof (= 0.8.1.0-1),
libghc-free-dev (= 5.2-1),
libghc-free-prof (= 5.2-1),
libghc-ghc-paths-dev (= 0.1.0.12-4),
libghc-ghc-paths-prof (= 0.1.0.12-4),
libghc-ghc-tcplugins-extra-dev (= 0.4.6-1+b1),
libghc-ghc-tcplugins-extra-prof (= 0.4.6-1+b1),
libghc-ghc-typelits-extra-dev (= 0.4.7-1+b1),
libghc-ghc-typelits-extra-doc (= 0.4.7-1),
libghc-ghc-typelits-extra-prof (= 0.4.7-1+b1),
libghc-ghc-typelits-knownnat-dev (= 0.7.12-1+b1),
libghc-ghc-typelits-knownnat-doc (= 0.7.12-1),
libghc-ghc-typelits-knownnat-prof (= 0.7.12-1+b1),
libghc-ghc-typelits-natnormalise-dev (= 0.7.10-1+b1),
libghc-ghc-typelits-natnormalise-doc (= 0.7.10-1),
libghc-ghc-typelits-natnormalise-prof (= 0.7.10-1+b1),
libghc-glob-dev (= 0.10.2-3),
libghc-glob-prof (= 0.10.2-3),
libghc-half-dev (= 0.3.1-3),
libghc-half-doc (= 0.3.1-3),
libghc-half-prof (= 0.3.1-3),
libghc-hashable-dev (= 1.4.4.0-1),
libghc-hashable-doc (= 1.4.4.0-1),
libghc-hashable-prof (= 1.4.4.0-1),
libghc-haskell-lexer-dev (= 1.1.1-2),
libghc-haskell-lexer-prof (= 1.1.1-2),
libghc-hedgehog-dev (= 1.4-1+b1),
libghc-hedgehog-prof (= 1.4-1+b1),
libghc-hint-dev (= 0.9.0.8-1+b1),
libghc-hint-prof (= 0.9.0.8-1+b1),
libghc-indexed-traversable-dev (= 0.1.4-1),
libghc-indexed-traversable-instances-dev (= 0.1.2-1),
libghc-indexed-traversable-instances-prof (= 0.1.2-1),
libghc-indexed-traversable-prof (= 0.1.4-1),
libghc-infinite-list-dev (= 0.1.1-1),
libghc-infinite-list-doc (= 0.1.1-1),
libghc-infinite-list-prof (= 0.1.1-1),
libghc-invariant-dev (= 0.6.3-1),
libghc-invariant-prof (= 0.6.3-1),
libghc-kan-extensions-dev (= 5.2.6-1),
libghc-kan-extensions-prof (= 5.2.6-1),
libghc-lazysmallcheck-dev (= 0.6-15),
libghc-lazysmallcheck-prof (= 0.6-15),
libghc-lens-dev (= 5.2.3-2+b1),
libghc-lens-doc (= 5.2.3-2),
libghc-lens-prof (= 5.2.3-2+b1),
libghc-lifted-async-dev (= 0.10.2.6-1),
libghc-lifted-async-prof (= 0.10.2.6-1),
libghc-lifted-base-dev (= 0.2.3.12-6),
libghc-lifted-base-prof (= 0.2.3.12-6),
libghc-mmorph-dev (= 1.2.0-3),
libghc-mmorph-prof (= 1.2.0-3),
libghc-monad-control-dev (= 1.0.3.1-3),
libghc-monad-control-prof (= 1.0.3.1-3),
libghc-onetuple-dev (= 0.4.2-1),
libghc-onetuple-prof (= 0.4.2-1),
libghc-optparse-applicative-dev (= 0.18.1.0-1),
libghc-optparse-applicative-prof (= 0.18.1.0-1),
libghc-os-string-dev (= 2.0.6-2),
libghc-os-string-prof (= 2.0.6-2),
libghc-parallel-dev (= 3.2.2.0-6),
libghc-parallel-prof (= 3.2.2.0-6),
libghc-pretty-show-dev (= 1.10-4),
libghc-pretty-show-prof (= 1.10-4),
libghc-prettyprinter-ansi-terminal-dev (= 1.1.3-3),
libghc-prettyprinter-ansi-terminal-prof (= 1.1.3-3),
libghc-prettyprinter-dev (= 1.7.1-3),
libghc-prettyprinter-prof (= 1.7.1-3),
libghc-primitive-dev (= 0.8.0.0-2),
libghc-primitive-prof (= 0.8.0.0-2),
libghc-profunctors-dev (= 5.6.2-3),
libghc-profunctors-prof (= 5.6.2-3),
libghc-quickcheck-classes-base-dev (= 0.6.2.0-5),
libghc-quickcheck-classes-base-prof (= 0.6.2.0-5),
libghc-quickcheck2-dev (= 2.14.3-2),
libghc-quickcheck2-doc (= 2.14.3-2),
libghc-quickcheck2-prof (= 2.14.3-2),
libghc-random-dev (= 1.2.1.2-1),
libghc-random-prof (= 1.2.1.2-1),
libghc-recursion-schemes-dev (= 5.2.3-1),
libghc-recursion-schemes-doc (= 5.2.3-1),
libghc-recursion-schemes-prof (= 5.2.3-1),
libghc-reflection-dev (= 2.1.8-2),
libghc-reflection-doc (= 2.1.8-2),
libghc-reflection-prof (= 2.1.8-2),
libghc-resourcet-dev (= 1.3.0-1),
libghc-resourcet-prof (= 1.3.0-1),
libghc-safe-dev (= 0.3.21-1),
libghc-safe-exceptions-dev (= 0.1.7.4-2),
libghc-safe-exceptions-prof (= 0.1.7.4-2),
libghc-safe-prof (= 0.3.21-1),
libghc-semigroupoids-dev (= 6.0.1-1),
libghc-semigroupoids-prof (= 6.0.1-1),
libghc-semigroups-dev (= 0.20-3),
libghc-semigroups-prof (= 0.20-3),
libghc-singletons-dev (= 3.0.3-1),
libghc-singletons-doc (= 3.0.3-1),
libghc-singletons-prof (= 3.0.3-1),
libghc-split-dev (= 0.2.5-1),
libghc-split-prof (= 0.2.5-1),
libghc-splitmix-dev (= 0.1.0.5-2),
libghc-splitmix-prof (= 0.1.0.5-2),
libghc-src-exts-dev (= 1.23.1-5),
libghc-src-exts-prof (= 1.23.1-5),
libghc-src-meta-dev (= 0.8.14-1),
libghc-src-meta-prof (= 0.8.14-1),
libghc-statevar-dev (= 1.2.2-3),
libghc-statevar-prof (= 1.2.2-3),
libghc-stream-dev (= 0.4.7.2-10),
libghc-stream-prof (= 0.4.7.2-10),
libghc-strict-dev (= 0.5-2),
libghc-strict-prof (= 0.5-2),
libghc-string-interpolate-dev (= 0.3.4.0-1),
libghc-string-interpolate-doc (= 0.3.4.0-1),
libghc-string-interpolate-prof (= 0.3.4.0-1),
libghc-syb-dev (= 0.7.2.4-2),
libghc-syb-prof (= 0.7.2.4-2),
libghc-tagged-dev (= 0.8.8-1),
libghc-tagged-prof (= 0.8.8-1),
libghc-tasty-dev (= 1.4.3-3),
libghc-tasty-hedgehog-dev (= 1.4.0.2-1+b1),
libghc-tasty-hedgehog-prof (= 1.4.0.2-1+b1),
libghc-tasty-hunit-dev (= 0.10.2-1),
libghc-tasty-hunit-prof (= 0.10.2-1),
libghc-tasty-prof (= 1.4.3-3),
libghc-tasty-quickcheck-dev (= 0.10.2-3),
libghc-tasty-quickcheck-prof (= 0.10.2-3),
libghc-tasty-th-dev (= 0.1.7-7),
libghc-tasty-th-prof (= 0.1.7-7),
libghc-temporary-dev (= 1.3-5),
libghc-temporary-prof (= 1.3-5),
libghc-terminal-size-dev (= 0.3.4-2),
libghc-terminal-size-prof (= 0.3.4-2),
libghc-text-conversions-dev (= 0.3.1.1-3),
libghc-text-conversions-prof (= 0.3.1.1-3),
libghc-th-abstraction-dev (= 0.5.0.0-1),
libghc-th-abstraction-doc (= 0.5.0.0-1),
libghc-th-abstraction-prof (= 0.5.0.0-1),
libghc-th-compat-dev (= 0.1.5-1),
libghc-th-compat-prof (= 0.1.5-1),
libghc-th-expand-syns-dev (= 0.4.11.0-2),
libghc-th-expand-syns-prof (= 0.4.11.0-2),
libghc-th-lift-dev (= 0.8.4-2),
libghc-th-lift-doc (= 0.8.4-2),
libghc-th-lift-prof (= 0.8.4-2),
libghc-th-orphans-dev (= 0.13.14-3),
libghc-th-orphans-doc (= 0.13.14-3),
libghc-th-orphans-prof (= 0.13.14-3),
libghc-th-reify-many-dev (= 0.1.10-3),
libghc-th-reify-many-prof (= 0.1.10-3),
libghc-these-dev (= 1.2.1-1),
libghc-these-prof (= 1.2.1-1),
libghc-transformers-base-dev (= 0.4.6-3),
libghc-transformers-base-prof (= 0.4.6-3),
libghc-transformers-compat-dev (= 0.7.2-2),
libghc-transformers-compat-prof (= 0.7.2-2),
libghc-type-errors-dev (= 0.2.0.2-2),
libghc-type-errors-doc (= 0.2.0.2-2),
libghc-type-errors-prof (= 0.2.0.2-2),
libghc-uniplate-dev (= 1.6.13-3),
libghc-uniplate-doc (= 1.6.13-3),
libghc-uniplate-prof (= 1.6.13-3),
libghc-unliftio-core-dev (= 0.2.1.0-2),
libghc-unliftio-core-prof (= 0.2.1.0-2),
libghc-unordered-containers-dev (= 0.2.20-3),
libghc-unordered-containers-prof (= 0.2.20-3),
libghc-utf8-string-dev (= 1.0.2-3),
libghc-utf8-string-prof (= 1.0.2-3),
libghc-vector-dev (= 0.13.1.0-2),
libghc-vector-doc (= 0.13.1.0-2),
libghc-vector-prof (= 0.13.1.0-2),
libghc-vector-stream-dev (= 0.1.0.1-1),
libghc-vector-stream-prof (= 0.1.0.1-1),
libghc-void-dev (= 0.7.3-5),
libghc-void-prof (= 0.7.3-5),
libghc-wl-pprint-annotated-dev (= 0.1.0.1-6),
libghc-wl-pprint-annotated-prof (= 0.1.0.1-6),
libgmp-dev (= 2:6.3.0+dfsg-2+b2),
libgmp10 (= 2:6.3.0+dfsg-2+b2),
libgmpxx4ldbl (= 2:6.3.0+dfsg-2+b2),
libgnutls30t64 (= 3.8.8-2),
libgomp1 (= 14.2.0-8),
libgprofng0 (= 2.43.1-5),
libgssapi-krb5-2 (= 1.21.3-3),
libhogweed6t64 (= 3.10-1+b1),
libhwasan0 (= 14.2.0-8),
libicu72 (= 72.1-5+b1),
libidn2-0 (= 2.3.7-2+b1),
libipc-run3-perl (= 0.049-1),
libisl23 (= 0.27-1),
libitm1 (= 14.2.0-8),
libjansson4 (= 2.14-2+b3),
libjs-mathjax (= 2.7.9+dfsg-1),
libk5crypto3 (= 1.21.3-3),
libkeyutils1 (= 1.6.3-4),
libkrb5-3 (= 1.21.3-3),
libkrb5support0 (= 1.21.3-3),
libldap-2.5-0 (= 2.5.18+dfsg-3+b1),
liblist-someutils-perl (= 0.59-1),
liblsan0 (= 14.2.0-8),
liblzma5 (= 5.6.3-1+b1),
libmagic-mgc (= 1:5.45-3+b1),
libmagic1t64 (= 1:5.45-3+b1),
libmd-dev (= 1.1.0-2+b1),
libmd0 (= 1.1.0-2+b1),
libmodule-implementation-perl (= 0.09-2),
libmodule-runtime-perl (= 0.016-2),
libmount1 (= 2.40.2-11),
libmpc3 (= 1.3.1-1+b3),
libmpfr6 (= 4.2.1-1+b2),
libncurses-dev (= 6.5-2+b1),
libncurses6 (= 6.5-2+b1),
libncursesw6 (= 6.5-2+b1),
libnettle8t64 (= 3.10-1+b1),
libnghttp2-14 (= 1.64.0-1),
libnghttp3-9 (= 1.4.0-1+b1),
libngtcp2-16 (= 1.6.0-1),
libngtcp2-crypto-gnutls8 (= 1.6.0-1),
libnsl2 (= 1.3.0-3+b3),
libnuma-dev (= 2.0.18-1+b1),
libnuma1 (= 2.0.18-1+b1),
libp11-kit0 (= 0.25.5-2+b1),
libpam-modules (= 1.5.3-7+b1),
libpam-modules-bin (= 1.5.3-7+b1),
libpam-runtime (= 1.5.3-7),
libpam0g (= 1.5.3-7+b1),
libparams-classify-perl (= 0.015-2+b4),
libparams-util-perl (= 1.102-3+b1),
libpath-tiny-perl (= 0.146-1),
libpcre2-8-0 (= 10.44-4),
libperl5.40 (= 5.40.0-7),
libpipeline1 (= 1.5.8-1),
libpsl5t64 (= 0.21.2-1.1+b1),
libpython3-stdlib (= 3.12.7-1),
libpython3.12-minimal (= 3.12.7-3),
libpython3.12-stdlib (= 3.12.7-3),
libreadline8t64 (= 8.2-5),
librtmp1 (= 2.4+20151223.gitfa8646d.1-2+b5),
libsasl2-2 (= 2.1.28+dfsg1-8),
libsasl2-modules-db (= 2.1.28+dfsg1-8),
libseccomp2 (= 2.5.5-1+b3),
libselinux1 (= 3.7-3+b1),
libsframe1 (= 2.43.1-5),
libsmartcols1 (= 2.40.2-11),
libsqlite3-0 (= 3.46.1-1),
libssh2-1t64 (= 1.11.1-1),
libssl3t64 (= 3.3.2-2),
libstdc++-14-dev (= 14.2.0-8),
libstdc++6 (= 14.2.0-8),
libsub-exporter-perl (= 0.990-1),
libsub-exporter-progressive-perl (= 0.001013-3),
libsub-install-perl (= 0.929-1),
libsystemd0 (= 257~rc1-4),
libtasn1-6 (= 4.19.0-3+b3),
libtimedate-perl (= 2.3300-2),
libtinfo6 (= 6.5-2+b1),
libtirpc-common (= 1.3.4+ds-1.3),
libtirpc3t64 (= 1.3.4+ds-1.3+b1),
libtool (= 2.4.7-8),
libtry-tiny-perl (= 0.32-1),
libtsan2 (= 14.2.0-8),
libubsan1 (= 14.2.0-8),
libuchardet0 (= 0.0.8-1+b2),
libudev1 (= 257~rc1-4),
libunicode-utf8-perl (= 0.62-2+b3),
libunistring5 (= 1.2-1+b1),
libuuid1 (= 2.40.2-11),
libxml2 (= 2.12.7+dfsg+really2.9.14-0.2+b1),
libzstd1 (= 1.5.6+dfsg-1+b1),
linux-libc-dev (= 6.11.7-1),
m4 (= 1.4.19-4),
make (= 4.3-4.1+b1),
man-db (= 2.13.0-1),
mawk (= 1.3.4.20240905-1),
media-types (= 10.1.0),
ncurses-base (= 6.5-2),
ncurses-bin (= 6.5-2+b1),
netbase (= 6.4),
openssl-provider-legacy (= 3.3.2-2),
patch (= 2.7.6-7+b1),
perl (= 5.40.0-7),
perl-base (= 5.40.0-7),
perl-modules-5.40 (= 5.40.0-7),
po-debconf (= 1.0.21+nmu1),
python3 (= 3.12.7-1),
python3-minimal (= 3.12.7-1),
python3-scour (= 0.38.2-5),
python3.12 (= 3.12.7-3),
python3.12-minimal (= 3.12.7-3),
readline-common (= 8.2-5),
rpcsvc-proto (= 1.4.3-1+b1),
scour (= 0.38.2-5),
sed (= 4.9-2+b1),
sensible-utils (= 0.0.24),
sysvinit-utils (= 3.11-1),
tar (= 1.35+dfsg-3+b1),
tzdata (= 2024b-3),
util-linux (= 2.40.2-11),
xz-utils (= 5.6.3-1+b1),
zlib1g (= 1:1.3.dfsg+really1.3.1-1+b1)
Environment:
DEB_BUILD_OPTIONS="parallel=8"
LANG="en_US.UTF-8"
LC_ALL="C.UTF-8"
SOURCE_DATE_EPOCH="1728350333"
+------------------------------------------------------------------------------+
| Package contents |
+------------------------------------------------------------------------------+
libghc-clash-prelude-dev_1.8.1-2_arm64.deb
------------------------------------------
new Debian package, version 2.0.
size 3172928 bytes: control archive=7956 bytes.
3534 bytes, 54 lines control
38849 bytes, 241 lines md5sums
Package: libghc-clash-prelude-dev
Source: haskell-clash-prelude
Version: 1.8.1-2
Architecture: arm64
Maintainer: Debian Haskell Group <pkg-haskell-maintainers@lists.alioth.debian.org>
Installed-Size: 37974
Depends: libghc-array-dev-0.5.6.0-65c23, libghc-arrows-dev-0.4.4.2-7b6dc, libghc-base-dev-4.18.2.1-75247, libghc-binary-dev-0.8.9.1-88a2a, libghc-bytestring-dev-0.11.5.3-31c85, libghc-constraints-dev-0.14.2-f1887, libghc-containers-dev-0.6.7-3eda8, libghc-data-binary-ieee754-dev-0.4.4-a40b8, libghc-data-default-class-dev-0.1.2.2-3b83c, libghc-deepseq-dev-1.4.8.1-71feb, libghc-extra-dev-1.7.16-00637, libghc-ghc-bignum-dev-1.3-ff2dd, libghc-ghc-prim-dev-0.10.0-e2193, libghc-ghc-typelits-extra-dev-0.4.7-3ad02, libghc-ghc-typelits-knownnat-dev-0.7.12-1c6d6, libghc-ghc-typelits-natnormalise-dev-0.7.10-5de26, libghc-half-dev-0.3.1-c5112, libghc-hashable-dev-1.4.4.0-3ee83, libghc-infinite-list-dev-0.1.1-c5748, libghc-lens-dev-5.2.3-9e387, libghc-mtl-dev-2.3.1-f8119, libghc-quickcheck-dev-2.14.3-1e824, libghc-recursion-schemes-dev-5.2.3-6c031, libghc-reflection-dev-2.1.8-294f7, libghc-singletons-dev-3.0.3-26f51, libghc-string-interpolate-dev-0.3.4.0-5d07f, libghc-template-haskell-dev-2.20.0.0-a6103, libghc-text-dev-2.0.2-edcc7, libghc-th-abstraction-dev-0.5.0.0-ba92f, libghc-th-lift-dev-0.8.4-5b668, libghc-th-orphans-dev-0.13.14-6531a, libghc-time-dev-1.12.2-a9ccc, libghc-transformers-dev-0.6.1.0-5335d, libghc-type-errors-dev-0.2.0.2-c32b1, libghc-uniplate-dev-1.6.13-3d663, libghc-vector-dev-0.13.1.0-c924b, libc6 (>= 2.17), libgmp10 (>= 2:6.3.0+dfsg)
Provides: libghc-clash-prelude-dev-1.8.1-ba4c2
Section: haskell
Priority: optional
Homepage: https://clash-lang.org/
Description: Functional hardware description language - Prelude library
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
.
* Support for multiple clock domains, with type safe clock domain crossing.
.
This package provides:
.
* Prelude library containing datatypes and functions for circuit design
.
To use the library:
.
* Import "Clash.Prelude"
.
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
.
This package provides a library for the Haskell programming language.
See http://www.haskell.org/ for more information on Haskell.
Ghc-Package: clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A
drwxr-xr-x root/root 0 2024-10-08 01:18 ./
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/
-rw-r--r-- root/root 61863 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation.dyn_hi
-rw-r--r-- root/root 61860 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/
-rw-r--r-- root/root 188695 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Deriving.dyn_hi
-rw-r--r-- root/root 188692 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Deriving.hi
-rw-r--r-- root/root 87627 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Internal.dyn_hi
-rw-r--r-- root/root 87624 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Internal.hi
-rw-r--r-- root/root 17311 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Util.dyn_hi
-rw-r--r-- root/root 17308 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Util.hi
-rw-r--r-- root/root 191001 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/Primitive.dyn_hi
-rw-r--r-- root/root 190998 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/Primitive.hi
-rw-r--r-- root/root 152857 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/SynthesisAttributes.dyn_hi
-rw-r--r-- root/root 152854 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/SynthesisAttributes.hi
-rw-r--r-- root/root 153726 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/TH.dyn_hi
-rw-r--r-- root/root 153723 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/TH.hi
-rw-r--r-- root/root 65685 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/TopEntity.dyn_hi
-rw-r--r-- root/root 65682 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/TopEntity.hi
-rw-r--r-- root/root 1835 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/CPP.dyn_hi
-rw-r--r-- root/root 1832 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/CPP.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/
-rw-r--r-- root/root 6570 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg.dyn_hi
-rw-r--r-- root/root 6567 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/
-rw-r--r-- root/root 132444 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/Instances.dyn_hi
-rw-r--r-- root/root 132441 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/Instances.hi
-rw-r--r-- root/root 75288 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/Internal.dyn_hi
-rw-r--r-- root/root 75285 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/Internal.hi
-rw-r--r-- root/root 6026 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack.dyn_hi
-rw-r--r-- root/root 6023 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/
-rw-r--r-- root/root 9731 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/BitIndex.dyn_hi
-rw-r--r-- root/root 9728 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/BitIndex.hi
-rw-r--r-- root/root 6198 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/BitReduction.dyn_hi
-rw-r--r-- root/root 6195 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/BitReduction.hi
-rw-r--r-- root/root 342038 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal.dyn_hi
-rw-r--r-- root/root 342035 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal/
-rw-r--r-- root/root 15317 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal/TH.dyn_hi
-rw-r--r-- root/root 15314 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal/TH.hi
-rw-r--r-- root/root 5811 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter.dyn_hi
-rw-r--r-- root/root 5808 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/
-rw-r--r-- root/root 80450 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/Internal.dyn_hi
-rw-r--r-- root/root 80447 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/Internal.hi
-rw-r--r-- root/root 19423 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/TH.dyn_hi
-rw-r--r-- root/root 19420 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/TH.hi
-rw-r--r-- root/root 16592 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Exp.dyn_hi
-rw-r--r-- root/root 16589 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Exp.hi
-rw-r--r-- root/root 6703 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain.dyn_hi
-rw-r--r-- root/root 6700 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/
-rw-r--r-- root/root 6236 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/CodeGen.dyn_hi
-rw-r--r-- root/root 6233 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/CodeGen.hi
-rw-r--r-- root/root 3905 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/Common.dyn_hi
-rw-r--r-- root/root 3902 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/Common.hi
-rw-r--r-- root/root 24213 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/HasSingleDomain.dyn_hi
-rw-r--r-- root/root 24210 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/HasSingleDomain.hi
-rw-r--r-- root/root 19221 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/HasSpecificDomain.dyn_hi
-rw-r--r-- root/root 19218 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/HasSpecificDomain.hi
-rw-r--r-- root/root 17639 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Num.dyn_hi
-rw-r--r-- root/root 17636 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Num.hi
-rw-r--r-- root/root 20520 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Parity.dyn_hi
-rw-r--r-- root/root 20517 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Parity.hi
-rw-r--r-- root/root 13871 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Resize.dyn_hi
-rw-r--r-- root/root 13868 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Resize.hi
-rw-r--r-- root/root 349563 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks.dyn_hi
-rw-r--r-- root/root 349560 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks/
-rw-r--r-- root/root 57002 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks/Internal.dyn_hi
-rw-r--r-- root/root 56999 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks/Internal.hi
-rw-r--r-- root/root 6802 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples.dyn_hi
-rw-r--r-- root/root 6799 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples/
-rw-r--r-- root/root 289232 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples/Internal.dyn_hi
-rw-r--r-- root/root 289229 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples/Internal.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/
-rw-r--r-- root/root 272618 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam.dyn_hi
-rw-r--r-- root/root 272615 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/
-rw-r--r-- root/root 38235 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Blob.dyn_hi
-rw-r--r-- root/root 38232 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Blob.hi
-rw-r--r-- root/root 33743 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/File.dyn_hi
-rw-r--r-- root/root 33740 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/File.hi
-rw-r--r-- root/root 31991 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Internal.dyn_hi
-rw-r--r-- root/root 31988 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Internal.hi
-rw-r--r-- root/root 36960 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Model.dyn_hi
-rw-r--r-- root/root 36957 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Model.hi
-rw-r--r-- root/root 26391 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/DDR.dyn_hi
-rw-r--r-- root/root 26388 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/DDR.hi
-rw-r--r-- root/root 11002 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Mealy.dyn_hi
-rw-r--r-- root/root 10999 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Mealy.hi
-rw-r--r-- root/root 10674 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Moore.dyn_hi
-rw-r--r-- root/root 10671 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Moore.hi
-rw-r--r-- root/root 87394 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude.dyn_hi
-rw-r--r-- root/root 87391 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude/
-rw-r--r-- root/root 81639 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude/Safe.dyn_hi
-rw-r--r-- root/root 81636 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude/Safe.hi
-rw-r--r-- root/root 25286 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/RAM.dyn_hi
-rw-r--r-- root/root 25283 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/RAM.hi
-rw-r--r-- root/root 16440 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM.dyn_hi
-rw-r--r-- root/root 16437 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/
-rw-r--r-- root/root 14732 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/Blob.dyn_hi
-rw-r--r-- root/root 14729 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/Blob.hi
-rw-r--r-- root/root 15471 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/File.dyn_hi
-rw-r--r-- root/root 15468 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/File.hi
-rw-r--r-- root/root 26739 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Reset.dyn_hi
-rw-r--r-- root/root 26736 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Reset.hi
-rw-r--r-- root/root 41793 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal.dyn_hi
-rw-r--r-- root/root 41790 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal/
-rw-r--r-- root/root 20729 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal/Delayed.dyn_hi
-rw-r--r-- root/root 20726 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal/Delayed.hi
-rw-r--r-- root/root 33875 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/SimIO.dyn_hi
-rw-r--r-- root/root 33872 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/SimIO.hi
-rw-r--r-- root/root 32705 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Synchronizer.dyn_hi
-rw-r--r-- root/root 32702 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Synchronizer.hi
-rw-r--r-- root/root 30133 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Testbench.dyn_hi
-rw-r--r-- root/root 30130 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Testbench.hi
-rw-r--r-- root/root 26266 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Verification.dyn_hi
-rw-r--r-- root/root 26263 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Verification.hi
-rw-r--r-- root/root 5158 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/HaskellPrelude.dyn_hi
-rw-r--r-- root/root 5155 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/HaskellPrelude.hi
-rw-r--r-- root/root 4000 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Hidden.dyn_hi
-rw-r--r-- root/root 3997 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Hidden.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/
-rw-r--r-- root/root 15339 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/ClockGen.dyn_hi
-rw-r--r-- root/root 15336 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/ClockGen.hi
-rw-r--r-- root/root 17916 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/DDR.dyn_hi
-rw-r--r-- root/root 17913 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/DDR.hi
-rw-r--r-- root/root 32677 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Magic.dyn_hi
-rw-r--r-- root/root 32674 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Magic.hi
-rw-r--r-- root/root 1135 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/NamedTypes.dyn_hi
-rw-r--r-- root/root 1132 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/NamedTypes.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/
-rw-r--r-- root/root 46636 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Erroring.dyn_hi
-rw-r--r-- root/root 46633 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Erroring.hi
-rw-r--r-- root/root 93397 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Overflowing.dyn_hi
-rw-r--r-- root/root 93394 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Overflowing.hi
-rw-r--r-- root/root 42259 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Saturating.dyn_hi
-rw-r--r-- root/root 42256 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Saturating.hi
-rw-r--r-- root/root 38927 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Wrapping.dyn_hi
-rw-r--r-- root/root 38924 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Wrapping.hi
-rw-r--r-- root/root 42417 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Zeroing.dyn_hi
-rw-r--r-- root/root 42414 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Zeroing.hi
-rw-r--r-- root/root 85159 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude.dyn_hi
-rw-r--r-- root/root 85156 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/
-rw-r--r-- root/root 20565 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam.dyn_hi
-rw-r--r-- root/root 20562 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/
-rw-r--r-- root/root 12155 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/Blob.dyn_hi
-rw-r--r-- root/root 12152 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/Blob.hi
-rw-r--r-- root/root 11486 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/File.dyn_hi
-rw-r--r-- root/root 11483 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/File.hi
-rw-r--r-- root/root 64591 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/DataFlow.dyn_hi
-rw-r--r-- root/root 64588 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/DataFlow.hi
-rw-r--r-- root/root 13693 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Mealy.dyn_hi
-rw-r--r-- root/root 13690 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Mealy.hi
-rw-r--r-- root/root 12216 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Moore.dyn_hi
-rw-r--r-- root/root 12213 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Moore.hi
-rw-r--r-- root/root 11539 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/RAM.dyn_hi
-rw-r--r-- root/root 11536 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/RAM.hi
-rw-r--r-- root/root 18100 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM.dyn_hi
-rw-r--r-- root/root 18097 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/
-rw-r--r-- root/root 15476 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/Blob.dyn_hi
-rw-r--r-- root/root 15473 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/Blob.hi
-rw-r--r-- root/root 16993 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/File.dyn_hi
-rw-r--r-- root/root 16990 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/File.hi
-rw-r--r-- root/root 83184 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Safe.dyn_hi
-rw-r--r-- root/root 83181 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Safe.hi
-rw-r--r-- root/root 13732 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Testbench.dyn_hi
-rw-r--r-- root/root 13729 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Testbench.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/
-rw-r--r-- root/root 60019 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat.dyn_hi
-rw-r--r-- root/root 60016 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/
-rw-r--r-- root/root 200402 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/Literals.dyn_hi
-rw-r--r-- root/root 200399 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/Literals.hi
-rw-r--r-- root/root 9586 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/TH.dyn_hi
-rw-r--r-- root/root 9583 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/TH.hi
-rw-r--r-- root/root 5913 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/Unsafe.dyn_hi
-rw-r--r-- root/root 5910 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/Unsafe.hi
-rw-r--r-- root/root 10729 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Symbol.dyn_hi
-rw-r--r-- root/root 10726 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Symbol.hi
-rw-r--r-- root/root 71775 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal.dyn_hi
-rw-r--r-- root/root 71772 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/
-rw-r--r-- root/root 39293 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/BiSignal.dyn_hi
-rw-r--r-- root/root 39290 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/BiSignal.hi
-rw-r--r-- root/root 164305 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle.dyn_hi
-rw-r--r-- root/root 164302 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle/
-rw-r--r-- root/root 29598 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle/Internal.dyn_hi
-rw-r--r-- root/root 29595 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle/Internal.hi
-rw-r--r-- root/root 16606 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed.dyn_hi
-rw-r--r-- root/root 16603 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/
-rw-r--r-- root/root 71381 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/Bundle.dyn_hi
-rw-r--r-- root/root 71378 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/Bundle.hi
-rw-r--r-- root/root 27988 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/Internal.dyn_hi
-rw-r--r-- root/root 27985 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/Internal.hi
-rw-r--r-- root/root 356323 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal.dyn_hi
-rw-r--r-- root/root 356320 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal/
-rw-r--r-- root/root 6917 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal/Ambiguous.dyn_hi
-rw-r--r-- root/root 6914 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal/Ambiguous.hi
-rw-r--r-- root/root 84957 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Trace.dyn_hi
-rw-r--r-- root/root 84954 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Trace.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/
-rw-r--r-- root/root 6570 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/BitVector.dyn_hi
-rw-r--r-- root/root 6567 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/BitVector.hi
-rw-r--r-- root/root 348255 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Fixed.dyn_hi
-rw-r--r-- root/root 348252 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Fixed.hi
-rw-r--r-- root/root 10138 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Index.dyn_hi
-rw-r--r-- root/root 10135 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Index.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/
-rw-r--r-- root/root 204660 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/BitVector.dyn_hi
-rw-r--r-- root/root 204657 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/BitVector.hi
-rw-r--r-- root/root 109683 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Index.dyn_hi
-rw-r--r-- root/root 109680 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Index.hi
-rw-r--r-- root/root 11912 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Mod.dyn_hi
-rw-r--r-- root/root 11909 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Mod.hi
-rw-r--r-- root/root 122954 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Signed.dyn_hi
-rw-r--r-- root/root 122951 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Signed.hi
-rw-r--r-- root/root 103433 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Unsigned.dyn_hi
-rw-r--r-- root/root 103430 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Unsigned.hi
-rw-r--r-- root/root 114562 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/RTree.dyn_hi
-rw-r--r-- root/root 114559 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/RTree.hi
-rw-r--r-- root/root 5118 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Signed.dyn_hi
-rw-r--r-- root/root 5115 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Signed.hi
-rw-r--r-- root/root 5130 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Unsigned.dyn_hi
-rw-r--r-- root/root 5127 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Unsigned.hi
-rw-r--r-- root/root 209716 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Vector.dyn_hi
-rw-r--r-- root/root 209713 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Vector.hi
-rw-r--r-- root/root 7265 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Tutorial.dyn_hi
-rw-r--r-- root/root 7262 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Tutorial.hi
-rw-r--r-- root/root 9328 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification.dyn_hi
-rw-r--r-- root/root 9325 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/
-rw-r--r-- root/root 10835 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/DSL.dyn_hi
-rw-r--r-- root/root 10832 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/DSL.hi
-rw-r--r-- root/root 73382 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/Internal.dyn_hi
-rw-r--r-- root/root 73379 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/Internal.hi
-rw-r--r-- root/root 520394 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException.dyn_hi
-rw-r--r-- root/root 520391 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/
-rw-r--r-- root/root 61477 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/Internal.dyn_hi
-rw-r--r-- root/root 61474 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/Internal.hi
-rw-r--r-- root/root 12228 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/MaybeX.dyn_hi
-rw-r--r-- root/root 12225 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/MaybeX.hi
-rw-r--r-- root/root 22044 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/TH.dyn_hi
-rw-r--r-- root/root 22041 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/TH.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/
-rw-r--r-- root/root 13042 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/ClockGen.dyn_hi
-rw-r--r-- root/root 13039 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/ClockGen.hi
-rw-r--r-- root/root 17369 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/DDR.dyn_hi
-rw-r--r-- root/root 17366 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/DDR.hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/TH/
-rw-r--r-- root/root 3218 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/TH/Compat.dyn_hi
-rw-r--r-- root/root 3215 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/TH/Compat.hi
-rw-r--r-- root/root 13402 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Paths_clash_prelude.dyn_hi
-rw-r--r-- root/root 13399 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Paths_clash_prelude.hi
-rw-r--r-- root/root 16340074 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A.a
-rw-r--r-- root/root 7784832 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-dev/
-rw-r--r-- root/root 8125 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-dev/buildinfo_arm64.gz
-rw-r--r-- root/root 458 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-dev/changelog.Debian.gz
-rw-r--r-- root/root 25849 2001-09-09 01:46 ./usr/share/doc/libghc-clash-prelude-dev/changelog.gz
-rw-r--r-- root/root 21091 2023-11-16 01:26 ./usr/share/doc/libghc-clash-prelude-dev/copyright
drwxr-xr-x root/root 0 2024-10-08 01:18 ./var/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./var/lib/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./var/lib/ghc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./var/lib/ghc/package.conf.d/
-rw-r--r-- root/root 7442 2024-10-08 01:18 ./var/lib/ghc/package.conf.d/clash-prelude-1.8.1.conf
libghc-clash-prelude-doc_1.8.1-2_all.deb
----------------------------------------
new Debian package, version 2.0.
size 1849856 bytes: control archive=9092 bytes.
2760 bytes, 55 lines control
33372 bytes, 319 lines md5sums
Package: libghc-clash-prelude-doc
Source: haskell-clash-prelude
Version: 1.8.1-2
Architecture: all
Maintainer: Debian Haskell Group <pkg-haskell-maintainers@lists.alioth.debian.org>
Installed-Size: 54124
Depends: haddock-interface-42
Recommends: ghc-doc, libghc-arrows-doc, libghc-clash-prelude-dev, libghc-constraints-doc, libghc-data-binary-ieee754-doc, libghc-data-default-class-doc, libghc-extra-doc, libghc-ghc-typelits-extra-doc, libghc-ghc-typelits-knownnat-doc, libghc-half-doc, libghc-hashable-doc, libghc-infinite-list-doc, libghc-lens-doc, libghc-quickcheck2-doc, libghc-recursion-schemes-doc, libghc-reflection-doc, libghc-singletons-doc, libghc-string-interpolate-doc, libghc-th-abstraction-doc, libghc-th-lift-doc, libghc-th-orphans-doc, libghc-type-errors-doc, libghc-uniplate-doc, libghc-vector-doc, libjs-mathjax
Suggests: libghc-clash-prelude-prof
Section: doc
Priority: optional
Homepage: https://clash-lang.org/
Description: Functional hardware description language - Prelude library; documentation
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
.
* Support for multiple clock domains, with type safe clock domain crossing.
.
This package provides:
.
* Prelude library containing datatypes and functions for circuit design
.
To use the library:
.
* Import "Clash.Prelude"
.
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
.
This package provides the documentation for a library for the Haskell
programming language.
See http://www.haskell.org/ for more information on Haskell.
drwxr-xr-x root/root 0 2024-10-08 01:18 ./
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/ghc-doc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/ghc-doc/haddock/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/
-rw-r--r-- root/root 503997 2024-10-08 01:18 ./usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/clash-prelude.haddock
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/ghc-doc/hoogle/
lrwxrwxrwx root/root 0 2024-10-08 01:18 ./usr/lib/ghc-doc/hoogle/libghc-clash-prelude-doc.txt -> ../../../share/doc/libghc-clash-prelude-doc/html/clash-prelude.txt
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/
-rw-r--r-- root/root 8125 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/buildinfo_all.gz
-rw-r--r-- root/root 458 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/changelog.Debian.gz
-rw-r--r-- root/root 25849 2001-09-09 01:46 ./usr/share/doc/libghc-clash-prelude-doc/changelog.gz
-rw-r--r-- root/root 21091 2023-11-16 01:26 ./usr/share/doc/libghc-clash-prelude-doc/copyright
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/
-rw-r--r-- root/root 26245 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-BitRepresentation-Deriving.html
-rw-r--r-- root/root 94614 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-BitRepresentation-Internal.html
-rw-r--r-- root/root 16004 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-BitRepresentation-Util.html
-rw-r--r-- root/root 68932 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-BitRepresentation.html
-rw-r--r-- root/root 163030 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-Primitive.html
-rw-r--r-- root/root 46932 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-SynthesisAttributes.html
-rw-r--r-- root/root 36182 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-TH.html
-rw-r--r-- root/root 76121 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Annotations-TopEntity.html
-rw-r--r-- root/root 108799 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-AutoReg-Internal.html
-rw-r--r-- root/root 105482 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-AutoReg.html
-rw-r--r-- root/root 16846 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-BitPack-BitIndex.html
-rw-r--r-- root/root 7500 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-BitPack-BitReduction.html
-rw-r--r-- root/root 4766 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-BitPack-Internal-TH.html
-rw-r--r-- root/root 189237 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-BitPack-Internal.html
-rw-r--r-- root/root 171835 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-BitPack.html
-rw-r--r-- root/root 53597 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Counter-Internal.html
-rw-r--r-- root/root 7669 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Counter-TH.html
-rw-r--r-- root/root 49639 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Counter.html
-rw-r--r-- root/root 14036 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Exp.html
-rw-r--r-- root/root 3869 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-HasDomain-CodeGen.html
-rw-r--r-- root/root 9162 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-HasDomain-Common.html
-rw-r--r-- root/root 84802 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-HasDomain-HasSingleDomain.html
-rw-r--r-- root/root 58679 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-HasDomain-HasSpecificDomain.html
-rw-r--r-- root/root 74975 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-HasDomain.html
-rw-r--r-- root/root 61965 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Num.html
-rw-r--r-- root/root 38604 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Parity.html
-rw-r--r-- root/root 59168 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Class-Resize.html
-rw-r--r-- root/root 713241 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Clocks.html
-rw-r--r-- root/root 58629 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Examples-Internal.html
-rw-r--r-- root/root 22898 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Examples.html
-rw-r--r-- root/root 32617 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-BlockRam-Blob.html
-rw-r--r-- root/root 27821 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-BlockRam-File.html
-rw-r--r-- root/root 17014 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-BlockRam-Internal.html
-rw-r--r-- root/root 34194 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-BlockRam-Model.html
-rw-r--r-- root/root 88205 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-BlockRam.html
-rw-r--r-- root/root 17215 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-DDR.html
-rw-r--r-- root/root 20451 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Mealy.html
-rw-r--r-- root/root 16765 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Moore.html
-rw-r--r-- root/root 1927747 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Prelude-Safe.html
-rw-r--r-- root/root 2249112 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Prelude.html
-rw-r--r-- root/root 17196 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-RAM.html
-rw-r--r-- root/root 28313 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-ROM-Blob.html
-rw-r--r-- root/root 21288 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-ROM-File.html
-rw-r--r-- root/root 13781 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-ROM.html
-rw-r--r-- root/root 471728 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Reset.html
-rw-r--r-- root/root 74459 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Signal-Delayed.html
-rw-r--r-- root/root 1864625 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Signal.html
-rw-r--r-- root/root 31849 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-SimIO.html
-rw-r--r-- root/root 13758 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Synchronizer.html
-rw-r--r-- root/root 51846 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Testbench.html
-rw-r--r-- root/root 42768 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Explicit-Verification.html
-rw-r--r-- root/root 11481002 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-HaskellPrelude.html
-rw-r--r-- root/root 6433 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Hidden.html
-rw-r--r-- root/root 39390 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Intel-ClockGen.html
-rw-r--r-- root/root 11700 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Intel-DDR.html
-rw-r--r-- root/root 43169 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Magic.html
-rw-r--r-- root/root 4108 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-NamedTypes.html
-rw-r--r-- root/root 69547 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Num-Erroring.html
-rw-r--r-- root/root 59635 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Num-Overflowing.html
-rw-r--r-- root/root 71607 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Num-Saturating.html
-rw-r--r-- root/root 69293 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Num-Wrapping.html
-rw-r--r-- root/root 68492 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Num-Zeroing.html
-rw-r--r-- root/root 26950 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-BlockRam-Blob.html
-rw-r--r-- root/root 20305 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-BlockRam-File.html
-rw-r--r-- root/root 64311 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-BlockRam.html
-rw-r--r-- root/root 59401 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-DataFlow.html
-rw-r--r-- root/root 17796 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-Mealy.html
-rw-r--r-- root/root 13104 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-Moore.html
-rw-r--r-- root/root 9665 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-RAM.html
-rw-r--r-- root/root 29772 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-ROM-Blob.html
-rw-r--r-- root/root 25211 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-ROM-File.html
-rw-r--r-- root/root 16401 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-ROM.html
-rw-r--r-- root/root 1862327 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-Safe.html
-rw-r--r-- root/root 30615 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude-Testbench.html
-rw-r--r-- root/root 3948426 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Prelude.html
-rw-r--r-- root/root 3090 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Promoted-Nat-Literals.html
-rw-r--r-- root/root 6554 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Promoted-Nat-TH.html
-rw-r--r-- root/root 2712 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Promoted-Nat-Unsafe.html
-rw-r--r-- root/root 80393 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Promoted-Nat.html
-rw-r--r-- root/root 10757 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Promoted-Symbol.html
-rw-r--r-- root/root 42326 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-BiSignal.html
-rw-r--r-- root/root 97298 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Bundle.html
-rw-r--r-- root/root 89537 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Delayed-Bundle.html
-rw-r--r-- root/root 54466 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Delayed-Internal.html
-rw-r--r-- root/root 66614 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Delayed.html
-rw-r--r-- root/root 10293 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Internal-Ambiguous.html
-rw-r--r-- root/root 1767071 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Internal.html
-rw-r--r-- root/root 43838 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal-Trace.html
-rw-r--r-- root/root 1698659 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Signal.html
-rw-r--r-- root/root 204661 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-BitVector.html
-rw-r--r-- root/root 183211 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Fixed.html
-rw-r--r-- root/root 119949 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Index.html
-rw-r--r-- root/root 288090 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Internal-BitVector.html
-rw-r--r-- root/root 152076 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Internal-Index.html
-rw-r--r-- root/root 11743 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Internal-Mod.html
-rw-r--r-- root/root 171173 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Internal-Signed.html
-rw-r--r-- root/root 172394 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Internal-Unsigned.html
-rw-r--r-- root/root 100595 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-RTree.html
-rw-r--r-- root/root 119672 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Signed.html
-rw-r--r-- root/root 122471 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Unsigned.html
-rw-r--r-- root/root 325348 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Sized-Vector.html
-rw-r--r-- root/root 157595 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Tutorial.html
-rw-r--r-- root/root 5494 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Verification-DSL.html
-rw-r--r-- root/root 72516 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Verification-Internal.html
-rw-r--r-- root/root 35570 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Verification.html
-rw-r--r-- root/root 100906 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-XException-Internal.html
-rw-r--r-- root/root 22306 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-XException-MaybeX.html
-rw-r--r-- root/root 5763 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-XException-TH.html
-rw-r--r-- root/root 350485 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-XException.html
-rw-r--r-- root/root 32365 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Xilinx-ClockGen.html
-rw-r--r-- root/root 10712 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/Clash-Xilinx-DDR.html
-rw-r--r-- root/root 1408748 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/clash-prelude.txt
-rw-r--r-- root/root 4170 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-124.html
-rw-r--r-- root/root 3645 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-126.html
-rw-r--r-- root/root 4455 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-33.html
-rw-r--r-- root/root 3407 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-35.html
-rw-r--r-- root/root 3722 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-36.html
-rw-r--r-- root/root 3499 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-38.html
-rw-r--r-- root/root 5291 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-42.html
-rw-r--r-- root/root 6591 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-43.html
-rw-r--r-- root/root 4800 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-45.html
-rw-r--r-- root/root 8510 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-46.html
-rw-r--r-- root/root 3722 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-47.html
-rw-r--r-- root/root 6244 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-58.html
-rw-r--r-- root/root 7234 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-60.html
-rw-r--r-- root/root 3738 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-61.html
-rw-r--r-- root/root 4239 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-62.html
-rw-r--r-- root/root 4173 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-94.html
-rw-r--r-- root/root 8900 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-95.html
-rw-r--r-- root/root 29991 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-A.html
-rw-r--r-- root/root 791401 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-All.html
-rw-r--r-- root/root 25737 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-B.html
-rw-r--r-- root/root 37203 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-C.html
-rw-r--r-- root/root 303131 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-D.html
-rw-r--r-- root/root 20482 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-E.html
-rw-r--r-- root/root 28639 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-F.html
-rw-r--r-- root/root 15575 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-G.html
-rw-r--r-- root/root 14560 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-H.html
-rw-r--r-- root/root 21281 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-I.html
-rw-r--r-- root/root 3655 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-J.html
-rw-r--r-- root/root 7646 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-K.html
-rw-r--r-- root/root 17542 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-L.html
-rw-r--r-- root/root 32119 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-M.html
-rw-r--r-- root/root 15757 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-N.html
-rw-r--r-- root/root 11200 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-O.html
-rw-r--r-- root/root 18934 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-P.html
-rw-r--r-- root/root 4539 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-Q.html
-rw-r--r-- root/root 44059 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-R.html
-rw-r--r-- root/root 74703 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-S.html
-rw-r--r-- root/root 33344 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-T.html
-rw-r--r-- root/root 34310 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-U.html
-rw-r--r-- root/root 13693 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-V.html
-rw-r--r-- root/root 13779 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-W.html
-rw-r--r-- root/root 6533 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-X.html
-rw-r--r-- root/root 3653 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-Y.html
-rw-r--r-- root/root 10933 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index-Z.html
-rw-r--r-- root/root 3166 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc-index.html
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/
-rw-r--r-- root/root 9674 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/csSort.svg
-rw-r--r-- root/root 8560 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/firstDF.svg
-rw-r--r-- root/root 10399 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/fold.svg
-rw-r--r-- root/root 5685 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/foldl.svg
-rw-r--r-- root/root 5688 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/foldl1.svg
-rw-r--r-- root/root 5691 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/foldr.svg
-rw-r--r-- root/root 5703 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/foldr1.svg
-rw-r--r-- root/root 4969 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/generate.svg
-rw-r--r-- root/root 3949 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/idDF.svg
-rw-r--r-- root/root 6836 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/ifoldl.svg
-rw-r--r-- root/root 6812 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/ifoldr.svg
-rw-r--r-- root/root 6232 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/imap.svg
-rw-r--r-- root/root 5475 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/iterate.svg
-rw-r--r-- root/root 7362 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/izipWith.svg
-rw-r--r-- root/root 18310 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/lockStep.svg
-rw-r--r-- root/root 8022 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/loopDF.svg
-rw-r--r-- root/root 10667 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/loopDF_sync.svg
-rw-r--r-- root/root 5120 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/map.svg
-rw-r--r-- root/root 6265 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/mapAccumL.svg
-rw-r--r-- root/root 6247 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/mapAccumR.svg
-rw-r--r-- root/root 10784 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/parDF.svg
-rw-r--r-- root/root 6587 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/scanl.svg
-rw-r--r-- root/root 7903 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/scanlPar.svg
-rw-r--r-- root/root 6564 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/scanr.svg
-rw-r--r-- root/root 8564 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/secondDF.svg
-rw-r--r-- root/root 9065 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/seqDF.svg
-rw-r--r-- root/root 6081 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/sscanl.svg
-rw-r--r-- root/root 6058 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/sscanr.svg
-rw-r--r-- root/root 17968 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/stepLock.svg
-rw-r--r-- root/root 6194 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/swapDF.svg
-rw-r--r-- root/root 6231 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/zipWith.svg
-rw-r--r-- root/root 7350 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/doc/zipWith3.svg
-rw-r--r-- root/root 36228 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/haddock-bundle.min.js
-rw-r--r-- root/root 24468 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/index.html
-rw-r--r-- root/root 14327 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/linuwial.css
-rw-r--r-- root/root 28 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/meta.json
-rw-r--r-- root/root 3443 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/quick-jump.css
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/
-rw-r--r-- root/root 711896 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.BitRepresentation.Deriving.html
-rw-r--r-- root/root 92005 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.BitRepresentation.Internal.html
-rw-r--r-- root/root 84739 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.BitRepresentation.Util.html
-rw-r--r-- root/root 48786 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.BitRepresentation.html
-rw-r--r-- root/root 117971 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.Primitive.html
-rw-r--r-- root/root 44900 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.SynthesisAttributes.html
-rw-r--r-- root/root 376454 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.TH.html
-rw-r--r-- root/root 61504 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Annotations.TopEntity.html
-rw-r--r-- root/root 8937 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.CPP.html
-rw-r--r-- root/root 6344 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.AutoReg.Instances.html
-rw-r--r-- root/root 301674 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.AutoReg.Internal.html
-rw-r--r-- root/root 2157 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.AutoReg.html
-rw-r--r-- root/root 46724 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.BitPack.BitIndex.html
-rw-r--r-- root/root 15251 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.BitPack.BitReduction.html
-rw-r--r-- root/root 67963 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.BitPack.Internal.TH.html
-rw-r--r-- root/root 263268 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.BitPack.Internal.html
-rw-r--r-- root/root 6611 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.BitPack.html
-rw-r--r-- root/root 69651 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Counter.Internal.html
-rw-r--r-- root/root 65854 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Counter.TH.html
-rw-r--r-- root/root 10175 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Counter.html
-rw-r--r-- root/root 40062 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Exp.html
-rw-r--r-- root/root 41407 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.HasDomain.CodeGen.html
-rw-r--r-- root/root 23090 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.HasDomain.Common.html
-rw-r--r-- root/root 102664 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.HasDomain.HasSingleDomain.html
-rw-r--r-- root/root 71324 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.HasDomain.HasSpecificDomain.html
-rw-r--r-- root/root 2902 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.HasDomain.html
-rw-r--r-- root/root 35323 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Num.html
-rw-r--r-- root/root 59427 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Parity.html
-rw-r--r-- root/root 57998 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Class.Resize.html
-rw-r--r-- root/root 99470 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Clocks.Internal.html
-rw-r--r-- root/root 156045 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Clocks.html
-rw-r--r-- root/root 191318 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Examples.Internal.html
-rw-r--r-- root/root 13644 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Examples.html
-rw-r--r-- root/root 201596 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.BlockRam.Blob.html
-rw-r--r-- root/root 206017 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.BlockRam.File.html
-rw-r--r-- root/root 161116 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.BlockRam.Internal.html
-rw-r--r-- root/root 183655 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.BlockRam.Model.html
-rw-r--r-- root/root 397552 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.BlockRam.html
-rw-r--r-- root/root 102799 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.DDR.html
-rw-r--r-- root/root 62603 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Mealy.html
-rw-r--r-- root/root 50532 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Moore.html
-rw-r--r-- root/root 91117 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Prelude.Safe.html
-rw-r--r-- root/root 68450 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Prelude.html
-rw-r--r-- root/root 126377 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.RAM.html
-rw-r--r-- root/root 55126 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.ROM.Blob.html
-rw-r--r-- root/root 55176 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.ROM.File.html
-rw-r--r-- root/root 51923 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.ROM.html
-rw-r--r-- root/root 155339 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Reset.html
-rw-r--r-- root/root 97370 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Signal.Delayed.html
-rw-r--r-- root/root 256848 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Signal.html
-rw-r--r-- root/root 172861 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.SimIO.html
-rw-r--r-- root/root 130431 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Synchronizer.html
-rw-r--r-- root/root 193673 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Testbench.html
-rw-r--r-- root/root 130408 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Explicit.Verification.html
-rw-r--r-- root/root 21133 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.HaskellPrelude.html
-rw-r--r-- root/root 18194 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Hidden.html
-rw-r--r-- root/root 65876 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Intel.ClockGen.html
-rw-r--r-- root/root 45305 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Intel.DDR.html
-rw-r--r-- root/root 78236 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Magic.html
-rw-r--r-- root/root 4472 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.NamedTypes.html
-rw-r--r-- root/root 110165 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Num.Erroring.html
-rw-r--r-- root/root 135974 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Num.Overflowing.html
-rw-r--r-- root/root 109882 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Num.Saturating.html
-rw-r--r-- root/root 94544 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Num.Wrapping.html
-rw-r--r-- root/root 107167 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Num.Zeroing.html
-rw-r--r-- root/root 28314 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.BlockRam.Blob.html
-rw-r--r-- root/root 38261 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.BlockRam.File.html
-rw-r--r-- root/root 105688 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.BlockRam.html
-rw-r--r-- root/root 297482 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.DataFlow.html
-rw-r--r-- root/root 45946 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.Mealy.html
-rw-r--r-- root/root 34921 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.Moore.html
-rw-r--r-- root/root 27853 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.RAM.html
-rw-r--r-- root/root 53706 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.ROM.Blob.html
-rw-r--r-- root/root 61494 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.ROM.File.html
-rw-r--r-- root/root 53662 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.ROM.html
-rw-r--r-- root/root 62969 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.Safe.html
-rw-r--r-- root/root 58249 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.Testbench.html
-rw-r--r-- root/root 63243 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Prelude.html
-rw-r--r-- root/root 26091 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Promoted.Nat.Literals.html
-rw-r--r-- root/root 21639 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Promoted.Nat.TH.html
-rw-r--r-- root/root 7837 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Promoted.Nat.Unsafe.html
-rw-r--r-- root/root 280385 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Promoted.Nat.html
-rw-r--r-- root/root 24295 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Promoted.Symbol.html
-rw-r--r-- root/root 112259 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.BiSignal.html
-rw-r--r-- root/root 117299 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Bundle.Internal.html
-rw-r--r-- root/root 92458 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Bundle.html
-rw-r--r-- root/root 212993 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Delayed.Bundle.html
-rw-r--r-- root/root 75623 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Delayed.Internal.html
-rw-r--r-- root/root 55596 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Delayed.html
-rw-r--r-- root/root 32690 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Internal.Ambiguous.html
-rw-r--r-- root/root 858478 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Internal.html
-rw-r--r-- root/root 304436 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.Trace.html
-rw-r--r-- root/root 467004 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Signal.html
-rw-r--r-- root/root 18264 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.BitVector.html
-rw-r--r-- root/root 724766 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Fixed.html
-rw-r--r-- root/root 9161 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Index.html
-rw-r--r-- root/root 1074022 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Internal.BitVector.html
-rw-r--r-- root/root 398306 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Internal.Index.html
-rw-r--r-- root/root 212925 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Internal.Mod.html
-rw-r--r-- root/root 630381 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Internal.Signed.html
-rw-r--r-- root/root 505002 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Internal.Unsigned.html
-rw-r--r-- root/root 323097 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.RTree.html
-rw-r--r-- root/root 1565 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Signed.html
-rw-r--r-- root/root 1508 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Unsigned.html
-rw-r--r-- root/root 1198933 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Sized.Vector.html
-rw-r--r-- root/root 109094 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Tutorial.html
-rw-r--r-- root/root 25411 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Verification.DSL.html
-rw-r--r-- root/root 82205 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Verification.Internal.html
-rw-r--r-- root/root 19297 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Verification.html
-rw-r--r-- root/root 255394 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.XException.Internal.html
-rw-r--r-- root/root 67673 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.XException.MaybeX.html
-rw-r--r-- root/root 99169 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.XException.TH.html
-rw-r--r-- root/root 355342 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.XException.html
-rw-r--r-- root/root 50356 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Xilinx.ClockGen.html
-rw-r--r-- root/root 41365 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Clash.Xilinx.DDR.html
-rw-r--r-- root/root 13945 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Language.Haskell.TH.Compat.html
-rw-r--r-- root/root 40358 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/Paths_clash_prelude.html
-rw-r--r-- root/root 567 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/highlight.js
-rw-r--r-- root/root 1433 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/src/style.css
-rw-r--r-- root/root 11327 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-doc/html/synopsis.png
libghc-clash-prelude-prof_1.8.1-2_arm64.deb
-------------------------------------------
new Debian package, version 2.0.
size 3302532 bytes: control archive=5184 bytes.
3555 bytes, 53 lines control
19581 bytes, 122 lines md5sums
Package: libghc-clash-prelude-prof
Source: haskell-clash-prelude
Version: 1.8.1-2
Architecture: arm64
Maintainer: Debian Haskell Group <pkg-haskell-maintainers@lists.alioth.debian.org>
Installed-Size: 38645
Depends: libghc-array-prof-0.5.6.0-65c23, libghc-arrows-prof-0.4.4.2-7b6dc, libghc-base-prof-4.18.2.1-75247, libghc-binary-prof-0.8.9.1-88a2a, libghc-bytestring-prof-0.11.5.3-31c85, libghc-clash-prelude-dev (= 1.8.1-2), libghc-constraints-prof-0.14.2-f1887, libghc-containers-prof-0.6.7-3eda8, libghc-data-binary-ieee754-prof-0.4.4-a40b8, libghc-data-default-class-prof-0.1.2.2-3b83c, libghc-deepseq-prof-1.4.8.1-71feb, libghc-extra-prof-1.7.16-00637, libghc-ghc-bignum-prof-1.3-ff2dd, libghc-ghc-prim-prof-0.10.0-e2193, libghc-ghc-typelits-extra-prof-0.4.7-3ad02, libghc-ghc-typelits-knownnat-prof-0.7.12-1c6d6, libghc-ghc-typelits-natnormalise-prof-0.7.10-5de26, libghc-half-prof-0.3.1-c5112, libghc-hashable-prof-1.4.4.0-3ee83, libghc-infinite-list-prof-0.1.1-c5748, libghc-lens-prof-5.2.3-9e387, libghc-mtl-prof-2.3.1-f8119, libghc-quickcheck-prof-2.14.3-1e824, libghc-recursion-schemes-prof-5.2.3-6c031, libghc-reflection-prof-2.1.8-294f7, libghc-singletons-prof-3.0.3-26f51, libghc-string-interpolate-prof-0.3.4.0-5d07f, libghc-template-haskell-prof-2.20.0.0-a6103, libghc-text-prof-2.0.2-edcc7, libghc-th-abstraction-prof-0.5.0.0-ba92f, libghc-th-lift-prof-0.8.4-5b668, libghc-th-orphans-prof-0.13.14-6531a, libghc-time-prof-1.12.2-a9ccc, libghc-transformers-prof-0.6.1.0-5335d, libghc-type-errors-prof-0.2.0.2-c32b1, libghc-uniplate-prof-1.6.13-3d663, libghc-vector-prof-0.13.1.0-c924b
Provides: libghc-clash-prelude-prof-1.8.1-ba4c2
Section: haskell
Priority: optional
Homepage: https://clash-lang.org/
Description: Functional hardware description language - Prelude library; profiling libraries
Clash is a functional hardware description language that borrows both its
syntax and semantics from the functional programming language Haskell. The
Clash compiler transforms these high-level descriptions to low-level
synthesizable VHDL, Verilog, or SystemVerilog.
.
Features of Clash:
.
* Strongly typed, but with a very high degree of type inference, enabling both
safe and fast prototyping using concise descriptions.
.
* Interactive REPL: load your designs in an interpreter and easily test all
your component without needing to setup a test bench.
.
* Higher-order functions, with type inference, result in designs that are
fully parametric by default.
.
* Synchronous sequential circuit design based on streams of values, called
@Signal@s, lead to natural descriptions of feedback loops.
.
* Support for multiple clock domains, with type safe clock domain crossing.
.
This package provides:
.
* Prelude library containing datatypes and functions for circuit design
.
To use the library:
.
* Import "Clash.Prelude"
.
* Alternatively, if you want to explicitly route clock and reset ports,
for more straightforward multi-clock designs, you can import the
"Clash.Explicit.Prelude" module. Note that you should not import
"Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they
have overlapping definitions.
.
A preliminary version of a tutorial can be found in "Clash.Tutorial", for a
general overview of the library you should however check out "Clash.Prelude".
Some circuit examples can be found in "Clash.Examples".
.
This package provides a library for the Haskell programming language, compiled
for profiling. See http://www.haskell.org/ for more information on Haskell.
drwxr-xr-x root/root 0 2024-10-08 01:18 ./
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/
-rw-r--r-- root/root 62001 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/
-rw-r--r-- root/root 189112 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Deriving.p_hi
-rw-r--r-- root/root 84734 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Internal.p_hi
-rw-r--r-- root/root 17508 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/BitRepresentation/Util.p_hi
-rw-r--r-- root/root 191807 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/Primitive.p_hi
-rw-r--r-- root/root 153211 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/SynthesisAttributes.p_hi
-rw-r--r-- root/root 151317 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/TH.p_hi
-rw-r--r-- root/root 65779 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Annotations/TopEntity.p_hi
-rw-r--r-- root/root 1852 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/CPP.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/
-rw-r--r-- root/root 6570 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/
-rw-r--r-- root/root 132436 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/Instances.p_hi
-rw-r--r-- root/root 75237 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/AutoReg/Internal.p_hi
-rw-r--r-- root/root 6019 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/
-rw-r--r-- root/root 9721 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/BitIndex.p_hi
-rw-r--r-- root/root 6196 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/BitReduction.p_hi
-rw-r--r-- root/root 342228 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal/
-rw-r--r-- root/root 14736 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/BitPack/Internal/TH.p_hi
-rw-r--r-- root/root 5907 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/
-rw-r--r-- root/root 80468 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/Internal.p_hi
-rw-r--r-- root/root 19279 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Counter/TH.p_hi
-rw-r--r-- root/root 16593 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Exp.p_hi
-rw-r--r-- root/root 6698 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/
-rw-r--r-- root/root 6964 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/CodeGen.p_hi
-rw-r--r-- root/root 3905 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/Common.p_hi
-rw-r--r-- root/root 24217 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/HasSingleDomain.p_hi
-rw-r--r-- root/root 19206 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/HasDomain/HasSpecificDomain.p_hi
-rw-r--r-- root/root 17635 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Num.p_hi
-rw-r--r-- root/root 20530 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Parity.p_hi
-rw-r--r-- root/root 13961 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Class/Resize.p_hi
-rw-r--r-- root/root 346145 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks/
-rw-r--r-- root/root 58885 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Clocks/Internal.p_hi
-rw-r--r-- root/root 6802 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples/
-rw-r--r-- root/root 303831 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Examples/Internal.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/
-rw-r--r-- root/root 276207 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/
-rw-r--r-- root/root 38748 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Blob.p_hi
-rw-r--r-- root/root 34458 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/File.p_hi
-rw-r--r-- root/root 32233 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Internal.p_hi
-rw-r--r-- root/root 36852 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/BlockRam/Model.p_hi
-rw-r--r-- root/root 25940 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/DDR.p_hi
-rw-r--r-- root/root 11272 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Mealy.p_hi
-rw-r--r-- root/root 10787 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Moore.p_hi
-rw-r--r-- root/root 87447 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude/
-rw-r--r-- root/root 82044 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Prelude/Safe.p_hi
-rw-r--r-- root/root 25574 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/RAM.p_hi
-rw-r--r-- root/root 16446 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/
-rw-r--r-- root/root 14530 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/Blob.p_hi
-rw-r--r-- root/root 15557 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/ROM/File.p_hi
-rw-r--r-- root/root 28137 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Reset.p_hi
-rw-r--r-- root/root 42507 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal/
-rw-r--r-- root/root 20745 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Signal/Delayed.p_hi
-rw-r--r-- root/root 34080 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/SimIO.p_hi
-rw-r--r-- root/root 37301 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Synchronizer.p_hi
-rw-r--r-- root/root 31064 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Testbench.p_hi
-rw-r--r-- root/root 26293 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Explicit/Verification.p_hi
-rw-r--r-- root/root 5194 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/HaskellPrelude.p_hi
-rw-r--r-- root/root 3998 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Hidden.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/
-rw-r--r-- root/root 15611 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/ClockGen.p_hi
-rw-r--r-- root/root 17967 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Intel/DDR.p_hi
-rw-r--r-- root/root 32722 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Magic.p_hi
-rw-r--r-- root/root 1133 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/NamedTypes.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/
-rw-r--r-- root/root 46646 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Erroring.p_hi
-rw-r--r-- root/root 93732 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Overflowing.p_hi
-rw-r--r-- root/root 42261 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Saturating.p_hi
-rw-r--r-- root/root 38950 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Wrapping.p_hi
-rw-r--r-- root/root 42427 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Num/Zeroing.p_hi
-rw-r--r-- root/root 85410 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/
-rw-r--r-- root/root 21242 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/
-rw-r--r-- root/root 12270 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/Blob.p_hi
-rw-r--r-- root/root 11517 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/BlockRam/File.p_hi
-rw-r--r-- root/root 69370 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/DataFlow.p_hi
-rw-r--r-- root/root 14405 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Mealy.p_hi
-rw-r--r-- root/root 13202 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Moore.p_hi
-rw-r--r-- root/root 11571 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/RAM.p_hi
-rw-r--r-- root/root 18108 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/
-rw-r--r-- root/root 15261 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/Blob.p_hi
-rw-r--r-- root/root 17031 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/ROM/File.p_hi
-rw-r--r-- root/root 83183 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Safe.p_hi
-rw-r--r-- root/root 13737 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Prelude/Testbench.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/
-rw-r--r-- root/root 61266 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/
-rw-r--r-- root/root 210587 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/Literals.p_hi
-rw-r--r-- root/root 9609 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/TH.p_hi
-rw-r--r-- root/root 5933 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Nat/Unsafe.p_hi
-rw-r--r-- root/root 10728 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Promoted/Symbol.p_hi
-rw-r--r-- root/root 73136 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/
-rw-r--r-- root/root 39771 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/BiSignal.p_hi
-rw-r--r-- root/root 170896 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle/
-rw-r--r-- root/root 30210 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Bundle/Internal.p_hi
-rw-r--r-- root/root 16708 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/
-rw-r--r-- root/root 86620 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/Bundle.p_hi
-rw-r--r-- root/root 29441 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Delayed/Internal.p_hi
-rw-r--r-- root/root 363175 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal/
-rw-r--r-- root/root 7003 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Internal/Ambiguous.p_hi
-rw-r--r-- root/root 85952 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Signal/Trace.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/
-rw-r--r-- root/root 6596 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/BitVector.p_hi
-rw-r--r-- root/root 348927 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Fixed.p_hi
-rw-r--r-- root/root 9964 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Index.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/
-rw-r--r-- root/root 208927 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/BitVector.p_hi
-rw-r--r-- root/root 111650 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Index.p_hi
-rw-r--r-- root/root 12290 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Mod.p_hi
-rw-r--r-- root/root 125113 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Signed.p_hi
-rw-r--r-- root/root 105628 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Internal/Unsigned.p_hi
-rw-r--r-- root/root 115823 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/RTree.p_hi
-rw-r--r-- root/root 5117 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Signed.p_hi
-rw-r--r-- root/root 5127 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Unsigned.p_hi
-rw-r--r-- root/root 211058 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Sized/Vector.p_hi
-rw-r--r-- root/root 7267 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Tutorial.p_hi
-rw-r--r-- root/root 9416 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/
-rw-r--r-- root/root 10831 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/DSL.p_hi
-rw-r--r-- root/root 73400 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Verification/Internal.p_hi
-rw-r--r-- root/root 528748 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/
-rw-r--r-- root/root 61599 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/Internal.p_hi
-rw-r--r-- root/root 12424 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/MaybeX.p_hi
-rw-r--r-- root/root 22457 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/XException/TH.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/
-rw-r--r-- root/root 13319 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/ClockGen.p_hi
-rw-r--r-- root/root 17416 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Clash/Xilinx/DDR.p_hi
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/TH/
-rw-r--r-- root/root 3265 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Language/Haskell/TH/Compat.p_hi
-rw-r--r-- root/root 13415 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/Paths_clash_prelude.p_hi
-rw-r--r-- root/root 32045736 2024-10-08 01:18 ./usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/clash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A_p.a
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/
drwxr-xr-x root/root 0 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-prof/
-rw-r--r-- root/root 8125 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-prof/buildinfo_arm64.gz
-rw-r--r-- root/root 458 2024-10-08 01:18 ./usr/share/doc/libghc-clash-prelude-prof/changelog.Debian.gz
-rw-r--r-- root/root 25849 2001-09-09 01:46 ./usr/share/doc/libghc-clash-prelude-prof/changelog.gz
-rw-r--r-- root/root 21091 2023-11-16 01:26 ./usr/share/doc/libghc-clash-prelude-prof/copyright
lintian
-------
Setup apt archive
-----------------
Merged Build-Depends: lintian
Filtered Build-Depends: lintian
dpkg-deb: warning: root directory has unusual owner or group 998:999.
Hint: either pass --root-owner-group, see dpkg-build-api(7) or add an explicit 'Rules-Requires-Root: no' in debian/control.
dpkg-deb: warning: ignoring 1 warning about the control file(s)
dpkg-deb: building package 'sbuild-build-depends-lintian-dummy' in '/<<RESOLVERDIR>>/apt_archive/sbuild-build-depends-lintian-dummy.deb'.
Ign:1 copy:/<<RESOLVERDIR>>/apt_archive ./ InRelease
Get:2 copy:/<<RESOLVERDIR>>/apt_archive ./ Release [615 B]
Ign:3 copy:/<<RESOLVERDIR>>/apt_archive ./ Release.gpg
Get:4 copy:/<<RESOLVERDIR>>/apt_archive ./ Sources [4758 B]
Get:5 copy:/<<RESOLVERDIR>>/apt_archive ./ Packages [4767 B]
Fetched 10.1 kB in 0s (879 kB/s)
Reading package lists...
Get:1 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ InRelease
Ign:1 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ InRelease
Get:2 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release [606 B]
Get:2 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release [606 B]
Get:3 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release.gpg
Ign:3 file:/<<BUILDDIR>>/resolver-XoYPKR/apt_archive ./ Release.gpg
Reading package lists...
Reading package lists...
Install lintian build dependencies (apt-based resolver)
-------------------------------------------------------
Installing build dependencies
Reading package lists...
Building dependency tree...
Reading state information...
The following NEW packages will be installed:
sbuild-build-depends-lintian-dummy
0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded.
Need to get 848 B of archives.
After this operation, 0 B of additional disk space will be used.
Get:1 copy:/<<RESOLVERDIR>>/apt_archive ./ sbuild-build-depends-lintian-dummy 0.invalid.0 [848 B]
debconf: delaying package configuration, since apt-utils is not installed
Fetched 848 B in 0s (0 B/s)
Selecting previously unselected package sbuild-build-depends-lintian-dummy.
(Reading database ... 45919 files and directories currently installed.)
Preparing to unpack .../sbuild-build-depends-lintian-dummy_0.invalid.0_arm64.deb ...
Unpacking sbuild-build-depends-lintian-dummy (0.invalid.0) ...
Setting up sbuild-build-depends-lintian-dummy (0.invalid.0) ...
Running lintian...
E: libghc-clash-prelude-dev: custom-library-search-path RUNPATH /usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6 [usr/lib/haskell-packages/ghc/lib/aarch64-linux-ghc-9.6.6/libHSclash-prelude-1.8.1-Alkd0Q4djbNAGEFvKHmf8A-ghc9.6.6.so]
W: haskell-clash-prelude changes: distribution-and-changes-mismatch sid unstable
E: Lintian run failed (runtime error)
+------------------------------------------------------------------------------+
| Post Build |
+------------------------------------------------------------------------------+
+------------------------------------------------------------------------------+
| Cleanup |
+------------------------------------------------------------------------------+
Purging /<<BUILDDIR>>
Not cleaning session: cloned chroot in use
+------------------------------------------------------------------------------+
| Summary |
+------------------------------------------------------------------------------+
Build Architecture: arm64
Build Type: binary
Build-Space: 728236
Build-Time: 459
Distribution: sid
Host Architecture: arm64
Install-Time: 70
Job: /tmp/debusine-fetch-exec-upload-1yn5cb7w/haskell-clash-prelude_1.8.1-2.dsc
Lintian: error
Machine Architecture: arm64
Package: haskell-clash-prelude
Package-Time: 566
Source-Version: 1.8.1-2
Space: 728236
Status: successful
Version: 1.8.1-2
--------------------------------------------------------------------------------
Finished at 2024-11-16T13:19:28Z
Build needed 00:09:26, 728236k disk space