Artifact nextpnr-ecp5-qt_0.7-1+b1_amd64

Metadata
deb_control_files:
- control
- md5sums
deb_fields:
  Architecture: amd64
  Conflicts: nextpnr-ecp5
  Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0),
    libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0),
    libc6 (>= 2.38), libgcc-s1 (>= 3.3.1), libpython3.12t64 (>= 3.12.1), libqt5core5t64
    (>= 5.15.1), libqt5gui5t64 (>= 5.1.0), libqt5gui5t64 (>= 5.14.1) | libqt5gui5-gles
    (>= 5.14.1), libqt5widgets5t64 (>= 5.14.1), libstdc++6 (>= 13.1), nextpnr-ecp5-chipdb
    (= 0.7-1+b1)
  Description: |-
    FPGA place and route tool for Lattice ECP5 - with GUI
     nextpnr is a FPGA place and route tool. Its purpose is to turn a
     topological description of digital hardware produced by an FPGA logic
     synthesis tool such as yosys into an elaborate map of connections between
     the hardwired functional units available inside the FPGA's fabric.
     .
     In order to verify the fully implemented design for proper operation at
     high speed timing-analysis of the design is also supported.
     .
     nextpnr-ecp5 supports the Lattice ECP5 series of FPGAs.
     .
     This package supports both the GUI and command-line interfaces. A slimmer
     command-line only version is available in the nextpnr-ecp5 package.
  Homepage: https://github.com/YosysHQ/nextpnr
  Installed-Size: '5550'
  Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
  Package: nextpnr-ecp5-qt
  Priority: optional
  Replaces: nextpnr-ecp5
  Section: electronics
  Source: nextpnr (0.7-1)
  Suggests: yosys
  Version: 0.7-1+b1
srcpkg_name: nextpnr
srcpkg_version: 0.7-1

File

nextpnr-ecp5-qt_0.7-1+b1_amd64.deb
Binary file nextpnr-ecp5-qt_0.7-1+b1_amd64.deb cannot be displayed. you can view it raw or download it instead.

Relations

Relation Direction Type Name
built-using Source package nextpnr_0.7-1

binary package System - - 3 months, 3 weeks ago 2 months, 3 weeks
BETA