Artifact nextpnr-ice40_0.7-1+b1_amd64

Metadata
deb_control_files:
- control
- md5sums
deb_fields:
  Architecture: amd64
  Conflicts: nextpnr-ice40-qt
  Depends: libboost-filesystem1.83.0 (>= 1.83.0), libboost-iostreams1.83.0 (>= 1.83.0),
    libboost-program-options1.83.0 (>= 1.83.0), libboost-thread1.83.0 (>= 1.83.0),
    libc6 (>= 2.38), libgcc-s1 (>= 3.3.1), libpython3.12t64 (>= 3.12.1), libstdc++6
    (>= 13.1), nextpnr-ice40-chipdb (= 0.7-1+b1)
  Description: |-
    FPGA place and route tool for Lattice iCE40
     nextpnr is a FPGA place and route tool. Its purpose is to turn a
     topological description of digital hardware produced by an FPGA logic
     synthesis tool such as yosys into an elaborate map of connections between
     the hardwired functional units available inside the FPGA's fabric.
     .
     In order to verify the fully implemented design for proper operation at
     high speed timing-analysis of the design is also supported.
     .
     nextpnr-ice40 supports the Lattice iCE40 series of FPGAs and uses the
     hardware description chipdb from the fpga-icestorm package.
     .
     This package supports only the command-line interface, there is also a GUI
     version in the nextpnr-ice40-qt package.
  Homepage: https://github.com/YosysHQ/nextpnr
  Installed-Size: '2378'
  Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
  Package: nextpnr-ice40
  Priority: optional
  Replaces: nextpnr-ice40-qt
  Section: electronics
  Source: nextpnr (0.7-1)
  Suggests: yosys, fpga-icestorm
  Version: 0.7-1+b1
srcpkg_name: nextpnr
srcpkg_version: 0.7-1

File

nextpnr-ice40_0.7-1+b1_amd64.deb
Binary file nextpnr-ice40_0.7-1+b1_amd64.deb cannot be displayed. you can view it raw or download it instead.

Relations

Relation Direction Type Name
built-using Source package nextpnr_0.7-1

binary package System - - 3 months, 3 weeks ago 2 months, 3 weeks
BETA